>>> openfpgaloader 0.10.0 Extracting gzip -d -c /home/thomas/autobuild/instance-2/dl/openfpgaloader/openfpgaloader-0.10.0.tar.gz | tar --strip-components=1 -C /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0 -xf - >>> openfpgaloader 0.10.0 Patching >>> openfpgaloader 0.10.0 Configuring (mkdir -p /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/ && cd /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/ && rm -f CMakeCache.txt && PATH="/home/thomas/autobuild/instance-2/output-1/host/bin:/home/thomas/autobuild/instance-2/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/snap/bin" /home/thomas/autobuild/instance-2/output-1/host/bin/cmake /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/ -G"Unix Makefiles" -DCMAKE_MAKE_PROGRAM="/usr/bin/make" -DCMAKE_TOOLCHAIN_FILE="/home/thomas/autobuild/instance-2/output-1/host/share/buildroot/toolchainfile.cmake" -DCMAKE_INSTALL_PREFIX="/usr" -DCMAKE_INSTALL_RUNSTATEDIR="/run" -DCMAKE_COLOR_MAKEFILE=OFF -DBUILD_DOC=OFF -DBUILD_DOCS=OFF -DBUILD_EXAMPLE=OFF -DBUILD_EXAMPLES=OFF -DBUILD_TEST=OFF -DBUILD_TESTS=OFF -DBUILD_TESTING=OFF -DBUILD_SHARED_LIBS=ON -DENABLE_UDEV=OFF -DENABLE_CMSISDAP=OFF -DENABLE_LIBGPIOD=OFF ) CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required): Compatibility with CMake < 3.5 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- The CXX compiler identification is GNU 13.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /home/thomas/autobuild/instance-2/output-1/host/bin/sh4aeb-linux-g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PkgConfig: /home/thomas/autobuild/instance-2/output-1/host/bin/pkg-config (found version "1.6.3") -- Checking for module 'libftdi1' -- Found libftdi1, version 1.5 -- Checking for module 'libusb-1.0' -- Found libusb-1.0, version 1.0.26 -- Checking for module 'hidapi-hidraw' -- Package 'hidapi-hidraw', required by 'virtual:world', not found -- Checking for module 'hidapi-libusb' -- Package 'hidapi-libusb', required by 'virtual:world', not found -- Checking for module 'zlib' -- Found zlib, version 1.3 Xilinx Virtual Server support enabled -- Configuring done (0.3s) -- Generating done (0.0s) CMake Warning: Manually-specified variables were not used by the project: BUILD_DOC BUILD_DOCS BUILD_EXAMPLE BUILD_EXAMPLES BUILD_SHARED_LIBS BUILD_TEST BUILD_TESTING BUILD_TESTS -- Build files have been written to: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0 >>> openfpgaloader 0.10.0 Building PATH="/home/thomas/autobuild/instance-2/output-1/host/bin:/home/thomas/autobuild/instance-2/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/snap/bin" /home/thomas/autobuild/instance-2/output-1/host/bin/cmake --build /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/ -j4 -- make[1]: Entering directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[2]: Entering directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[3]: Entering directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[3]: Leaving directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[3]: Entering directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' [ 2%] Building CXX object CMakeFiles/openFPGALoader.dir/src/anlogicBitParser.cpp.o [ 4%] Building CXX object CMakeFiles/openFPGALoader.dir/src/anlogic.cpp.o [ 6%] Building CXX object CMakeFiles/openFPGALoader.dir/src/ch552_jtag.cpp.o [ 8%] Building CXX object CMakeFiles/openFPGALoader.dir/src/anlogicCable.cpp.o [ 10%] Building CXX object CMakeFiles/openFPGALoader.dir/src/dfu.cpp.o [ 12%] Building CXX object CMakeFiles/openFPGALoader.dir/src/dfuFileParser.cpp.o [ 14%] Building CXX object CMakeFiles/openFPGALoader.dir/src/dirtyJtag.cpp.o [ 17%] Building CXX object CMakeFiles/openFPGALoader.dir/src/efinix.cpp.o [ 19%] Building CXX object CMakeFiles/openFPGALoader.dir/src/efinixHexParser.cpp.o [ 21%] Building CXX object CMakeFiles/openFPGALoader.dir/src/fx2_ll.cpp.o [ 23%] Building CXX object CMakeFiles/openFPGALoader.dir/src/ice40.cpp.o In file included from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:13, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:13, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.hpp:11, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp:6: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:49:9: error: 'uint8_t' does not name a type 49 | uint8_t tms_pin; /*! TMS pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:12:1: note: 'uint8_t' is defined in header ''; did you forget to '#include '? 11 | #include "cable.hpp" +++ |+#include 12 | /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:50:9: error: 'uint8_t' does not name a type 50 | uint8_t tck_pin; /*! TCK pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:50:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:51:9: error: 'uint8_t' does not name a type 51 | uint8_t tdi_pin; /*! TDI pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:51:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:52:9: error: 'uint8_t' does not name a type 52 | uint8_t tdo_pin; /*! TDO pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:52:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:56:9: error: 'uint16_t' does not name a type 56 | uint16_t cs_pin; /*! CS pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:56:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:57:9: error: 'uint16_t' does not name a type 57 | uint16_t sck_pin; /*! SCK pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:57:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:58:9: error: 'uint16_t' does not name a type 58 | uint16_t miso_pin; /*! MISO pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:58:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:59:9: error: 'uint16_t' does not name a type 59 | uint16_t mosi_pin; /*! MOSI pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:59:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:60:9: error: 'uint16_t' does not name a type 60 | uint16_t holdn_pin; /*! HOLDN pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:60:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:61:9: error: 'uint16_t' does not name a type 61 | uint16_t wpn_pin; /*! WPN pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:61:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:78:9: error: 'uint16_t' does not name a type 78 | uint16_t reset_pin; /*! reset pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:78:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:79:9: error: 'uint16_t' does not name a type 79 | uint16_t done_pin; /*! done pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:79:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:80:9: error: 'uint16_t' does not name a type 80 | uint16_t oe_pin; /*! output enable pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:80:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:81:9: error: 'uint16_t' does not name a type 81 | uint16_t mode; /*! communication type (JTAG or SPI) */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:81:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:84:9: error: 'uint32_t' does not name a type 84 | uint32_t default_freq; /* Default clock speed: 0 = use cable default */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:84:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:85:9: error: 'uint16_t' does not name a type 85 | uint16_t vid; /* optional VID: used only with DFU */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:85:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:86:9: error: 'uint16_t' does not name a type 86 | uint16_t pid; /* optional VID: used only with DFU */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:86:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:208:1: error: could not convert '{{"ac701", {"", "digilent", "xc7a200t2fbg676c", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"acornCle215", {"", "", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"litex-acorn-baseboard-mini", {"", "", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alchitry_au", {"", "ft2232", "xc7a35tftg256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alchitry_au_plus", {"", "ft2232", "xc7a100tftg256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty", {"", "digilent", "xc7a35tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_a7_35t", {"", "digilent", "xc7a35tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_a7_100t", {"", "digilent", "xc7a100tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_s7_25", {"", "digilent", "xc7s25csga324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_s7_50", {"", "digilent", "xc7s50csga324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_z7_10", {"", "digilent", "xc7z010clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_z7_20", {"", "digilent", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alinx_ax516", {"", "", "xc6slx16csg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"axu2cga", {"", "", "xczu2cg", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"basys3", {"", "digilent", "xc7a35tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cmod_s7", {"", "digilent", "xc7s25csga225", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cmoda7_35t", {"", "digilent", "xc7a35tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexys_a7_50", {"", "digilent", "xc7a50tcsg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexys_a7_100", {"", "digilent", "xc7a100tcsg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexysVideo", {"", "digilent_b", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"kc705", {"", "digilent", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zc702", {"", "digilent", "xc7z020clg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zybo_z7_10", {"", "digilent", "xc7z010clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zybo_z7_20", {"", "digilent", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight", {"", "", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight-i5", {"", "cmsisdap", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight-i9", {"", "cmsisdap", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"crosslinknx_evn", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cyc1000", {"", "ft2232", "10cl025256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0", {"", "usb-blaster", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0nano", {"", "usb-blaster", "ep4ce2217", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0nanoSoc", {"", "usb-blasterII", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de10nano", {"", "usb-blasterII", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de1Soc", {"", "usb-blasterII", "5CSEMA5", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"ecp5_evn", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"fireant", {"efinix", "ft232", "", DBUS4, DBUS5, 0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"fomu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 23536, 0}}, {"gatemate_pgm_spi", {"colognechip", "gatemate_pgm", "", DBUS4, DBUS5, CBUS0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"gatemate_evb_jtag", {"", "gatemate_evb_jtag", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"gatemate_evb_spi", {"colognechip", "gatemate_evb_spi", "", DBUS4, DBUS5, CBUS0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"ice40_generic", {"lattice", "ft2232", "", DBUS7, DBUS6, 0, COMM_SPI, (), {DBUS4, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"ft2232_spi", {"none", "ft2232", "", DBUS7, DBUS6, 0, COMM_SPI, (), {DBUS4, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"icebreaker-bitsy", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 7504, 24902, 0}}, {"machXO2EVN", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"machXO3SK", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"machXO3EVN", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"licheeTang", {"", "anlogicCable", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"littleBee", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"spartanEdgeAccelBoard", {"", "", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"pipistrello", {"", "ft2232", "xc6slx45csg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"minispartan6", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"orangeCrab", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 23280, 0}}, {"qmtechCycloneIV", {"", "", "ep4ce1523", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechCycloneV", {"", "", "5ce223", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechCycloneV_5ce523", {"", "", "5ce523", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechKintex7", {"", "", "xc7k325tffg676", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"genesys2", {"", "digilent_b", "xc7k325tffg900", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"pynq_z2", {"", "ft2232", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"spec150", {"", "", "xc6slx150tfgg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"runber", {"", "ft232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano", {"", "ch552_jtag", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano1k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano4k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano9k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangprimer20k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tec0117", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"orbtrace_dfu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 13378, 1}}, {"ulx2s", {"", "ft232RL", "", 0, 0, 0, COMM_JTAG, {FT232RL_RI, FT232RL_DSR, FT232RL_CTS, FT232RL_DCD}, (), 0, 0, 0, -1}}, {"ulx3s", {"", "ft231X", "", 0, 0, 0, COMM_JTAG, {FT232RL_DCD, FT232RL_DSR, FT232RL_RI, FT232RL_CTS}, (), 0, 0, 0, -1}}, {"ulx3s_dfu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 7504, 24907, 0}}, {"ecpix5", {"", "ecpix5-debug", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xtrx", {"", "", "xc7a50tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xyloni_jtag", {"", "efinix_jtag_ft4232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xyloni_spi", {"efinix", "efinix_spi_ft4232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"trion_t120_bga576", {"efinix", "efinix_spi_ft2232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"trion_t120_bga576_jtag", {"", "ft2232_b", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"titanium_ti60_f225", {"efinix", "efinix_spi_ft4232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"titanium_ti60_f225_jtag", {"", "efinix_jtag_ft4232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zc706", {"", "jtag-smt2-nc", "xc7z045ffg900", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zcu102", {"", "jtag-smt2-nc", "xczu9egffvb1156", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zcu106", {"", "jtag-smt2-nc", "xczu7evffvc1156", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zedboard", {"", "digilent_hs2", "xc7z020clg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"papilio_one", {"", "papilio", "xc3s500evq100", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"usrpx300", {"", "digilent", "xc7k325tffg900", 0, 0, 0, COMM_JTAG, (), (), (15 * 1000000), 0, 0, -1}}, {"usrpx310", {"", "digilent", "xc7k410tffg900", 0, 0, 0, COMM_JTAG, (), (), (15 * 1000000), 0, 0, -1}}, {"vcu118", {"", "jtag-smt2-nc", "xcvu9pl2flga2104e", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}}' from '' to 'std::map, target_board_t>' 208 | }; | ^ | | | In file included from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:15: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:23:32: error: 'uint32_t' has not been declared 23 | virtual int setClkFreq(uint32_t clkHZ) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:24:17: error: 'uint32_t' does not name a type 24 | virtual uint32_t getClkFreq() {return _clkHZ;} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:1:1: note: 'uint32_t' is defined in header ''; did you forget to '#include '? +++ |+#include 1 | // SPDX-License-Identifier: Apache-2.0 /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:32:30: error: 'uint8_t' has not been declared 32 | virtual int writeTMS(uint8_t *tms, uint32_t len, bool flush_buffer) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:32:44: error: 'uint32_t' has not been declared 32 | virtual int writeTMS(uint8_t *tms, uint32_t len, bool flush_buffer) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:30: error: 'uint8_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:43: error: 'uint8_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:56: error: 'uint32_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:40: error: 'uint8_t' does not name a type 52 | virtual bool writeTMSTDI(const uint8_t *tms, const uint8_t *tdi, | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:40: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:60: error: 'uint8_t' does not name a type 52 | virtual bool writeTMSTDI(const uint8_t *tms, const uint8_t *tdi, | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:60: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:53:25: error: 'uint8_t' has not been declared 53 | uint8_t *tdo, uint32_t len) | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:53:39: error: 'uint32_t' has not been declared 53 | uint8_t *tdo, uint32_t len) | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:31: error: 'uint8_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:44: error: 'uint8_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:57: error: 'uint32_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:82:9: error: 'uint32_t' does not name a type 82 | uint32_t _clkHZ; /*!< current clk frequency */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:82:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:20:44: error: 'uint32_t' has not been declared 20 | const std::string &serial, uint32_t clkHZ, int8_t verbose, | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:27:24: error: 'uint32_t' has not been declared 27 | int setClkFreq(uint32_t clkHZ) { return _jtag->setClkFreq(clkHZ);} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:28:9: error: 'uint32_t' does not name a type 28 | uint32_t getClkFreq() { return _jtag->getClkFreq();} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:16:1: note: 'uint32_t' is defined in header ''; did you forget to '#include '? 15 | #include "jtagInterface.hpp" +++ |+#include 16 | /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:48:9: error: 'uint32_t' does not name a type 48 | uint32_t get_target_device_id() {return _devices_list[device_index];} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:48:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:55:9: error: 'uint16_t' does not name a type 55 | uint16_t device_select(uint16_t index); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:55:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:62:27: error: 'uint32_t' has not been declared 62 | bool insert_first(uint32_t device_id, uint16_t irlength); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:62:47: error: 'uint16_t' has not been declared 62 | bool insert_first(uint32_t device_id, uint16_t irlength); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:108:51: error: 'uint32_t' has not been declared 108 | const jtag_pins_conf_t *pin_conf, uint32_t clkHZ, | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:119:51: error: 'uint32_t' has not been declared 119 | bool search_and_insert_device_with_idcode(uint32_t idcode); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:46:40: error: 'uint32_t' has not been declared 46 | virtual bool dumpFlash(uint32_t base_addr, uint32_t len) { | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:46:60: error: 'uint32_t' has not been declared 46 | virtual bool dumpFlash(uint32_t base_addr, uint32_t len) { | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:49:44: error: 'uint32_t' has not been declared 49 | virtual bool protect_flash(uint32_t len) = 0; | ^~~~~~~~ In file included from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.hpp:12: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:31:13: error: 'int FtdiJtagMPSSE::setClkFreq(uint32_t)' marked 'override', but does not override 31 | int setClkFreq(uint32_t clkHZ) override; | ^~~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:33:18: error: 'uint32_t FtdiJtagMPSSE::getClkFreq()' marked 'override', but does not override 33 | uint32_t getClkFreq() override {return FTDIpp_MPSSE::getClkFreq();} | ^~~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:36:13: error: 'int FtdiJtagMPSSE::writeTMS(uint8_t*, uint32_t, bool)' marked 'override', but does not override 36 | int writeTMS(uint8_t *tms, uint32_t len, bool flush_buffer) override; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:38:13: error: 'int FtdiJtagMPSSE::toggleClk(uint8_t, uint8_t, uint32_t)' marked 'override', but does not override 38 | int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) override; | ^~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:40:13: error: 'int FtdiJtagMPSSE::writeTDI(uint8_t*, uint8_t*, uint32_t, bool)' marked 'override', but does not override 40 | int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) override; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ftdiJtagMPSSE.hpp:50:22: error: 'virtual bool FtdiJtagMPSSE::writeTMSTDI(const uint8_t*, const uint8_t*, uint8_t*, uint32_t)' marked 'override', but does not override 50 | virtual bool writeTMSTDI(const uint8_t *tms, const uint8_t *tdi, uint8_t *tdo, | ^~~~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.hpp:29:22: error: 'bool Efinix::dumpFlash(uint32_t, uint32_t)' marked 'override', but does not override 29 | bool dumpFlash(uint32_t base_addr, uint32_t len) override; | ^~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.hpp:30:30: error: 'virtual bool Efinix::protect_flash(uint32_t)' marked 'override', but does not override 30 | virtual bool protect_flash(uint32_t len) override { | ^~~~~~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp: In constructor 'Efinix::Efinix(Jtag*, const std::string&, const std::string&, const std::string&, bool, int8_t)': /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp:72:46: error: 'struct spi_pins_conf_t' has no member named 'cs_pin' 72 | _cs_pin = spi_board->spi_pins_config.cs_pin; | ^~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp:73:31: error: 'struct target_board_t' has no member named 'reset_pin' 73 | _rst_pin = spi_board->reset_pin; | ^~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp:74:30: error: 'struct target_board_t' has no member named 'oe_pin' 74 | _oe_pin = spi_board->oe_pin; | ^~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/efinix.cpp:78:31: error: 'class Jtag' has no member named 'getClkFreq'; did you mean 'setClkFreq'? 78 | jtag->getClkFreq(), verbose > 0); | ^~~~~~~~~~ | setClkFreq [ 25%] Building CXX object CMakeFiles/openFPGALoader.dir/src/ihexParser.cpp.o In file included from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:13, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:13, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ice40.hpp:11, from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ice40.cpp:6: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:49:9: error: 'uint8_t' does not name a type 49 | uint8_t tms_pin; /*! TMS pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:12:1: note: 'uint8_t' is defined in header ''; did you forget to '#include '? 11 | #include "cable.hpp" +++ |+#include 12 | /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:50:9: error: 'uint8_t' does not name a type 50 | uint8_t tck_pin; /*! TCK pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:50:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:51:9: error: 'uint8_t' does not name a type 51 | uint8_t tdi_pin; /*! TDI pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:51:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:52:9: error: 'uint8_t' does not name a type 52 | uint8_t tdo_pin; /*! TDO pin value */ | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:52:9: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:56:9: error: 'uint16_t' does not name a type 56 | uint16_t cs_pin; /*! CS pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:56:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:57:9: error: 'uint16_t' does not name a type 57 | uint16_t sck_pin; /*! SCK pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:57:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:58:9: error: 'uint16_t' does not name a type 58 | uint16_t miso_pin; /*! MISO pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:58:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:59:9: error: 'uint16_t' does not name a type 59 | uint16_t mosi_pin; /*! MOSI pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:59:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:60:9: error: 'uint16_t' does not name a type 60 | uint16_t holdn_pin; /*! HOLDN pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:60:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:61:9: error: 'uint16_t' does not name a type 61 | uint16_t wpn_pin; /*! WPN pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:61:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:78:9: error: 'uint16_t' does not name a type 78 | uint16_t reset_pin; /*! reset pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:78:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:79:9: error: 'uint16_t' does not name a type 79 | uint16_t done_pin; /*! done pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:79:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:80:9: error: 'uint16_t' does not name a type 80 | uint16_t oe_pin; /*! output enable pin value */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:80:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:81:9: error: 'uint16_t' does not name a type 81 | uint16_t mode; /*! communication type (JTAG or SPI) */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:81:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:84:9: error: 'uint32_t' does not name a type 84 | uint32_t default_freq; /* Default clock speed: 0 = use cable default */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:84:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:85:9: error: 'uint16_t' does not name a type 85 | uint16_t vid; /* optional VID: used only with DFU */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:85:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:86:9: error: 'uint16_t' does not name a type 86 | uint16_t pid; /* optional VID: used only with DFU */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:86:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/board.hpp:208:1: error: could not convert '{{"ac701", {"", "digilent", "xc7a200t2fbg676c", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"acornCle215", {"", "", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"litex-acorn-baseboard-mini", {"", "", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alchitry_au", {"", "ft2232", "xc7a35tftg256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alchitry_au_plus", {"", "ft2232", "xc7a100tftg256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty", {"", "digilent", "xc7a35tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_a7_35t", {"", "digilent", "xc7a35tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_a7_100t", {"", "digilent", "xc7a100tcsg324", 0, 0, 0, COMM_JTAG, (), (), (10 * 1000000), 0, 0, -1}}, {"arty_s7_25", {"", "digilent", "xc7s25csga324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_s7_50", {"", "digilent", "xc7s50csga324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_z7_10", {"", "digilent", "xc7z010clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"arty_z7_20", {"", "digilent", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"alinx_ax516", {"", "", "xc6slx16csg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"axu2cga", {"", "", "xczu2cg", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"basys3", {"", "digilent", "xc7a35tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cmod_s7", {"", "digilent", "xc7s25csga225", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cmoda7_35t", {"", "digilent", "xc7a35tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexys_a7_50", {"", "digilent", "xc7a50tcsg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexys_a7_100", {"", "digilent", "xc7a100tcsg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"nexysVideo", {"", "digilent_b", "xc7a200tsbg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"kc705", {"", "digilent", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zc702", {"", "digilent", "xc7z020clg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zybo_z7_10", {"", "digilent", "xc7z010clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zybo_z7_20", {"", "digilent", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight", {"", "", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight-i5", {"", "cmsisdap", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"colorlight-i9", {"", "cmsisdap", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"crosslinknx_evn", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"cyc1000", {"", "ft2232", "10cl025256", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0", {"", "usb-blaster", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0nano", {"", "usb-blaster", "ep4ce2217", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de0nanoSoc", {"", "usb-blasterII", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de10nano", {"", "usb-blasterII", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"de1Soc", {"", "usb-blasterII", "5CSEMA5", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"ecp5_evn", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"fireant", {"efinix", "ft232", "", DBUS4, DBUS5, 0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"fomu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 23536, 0}}, {"gatemate_pgm_spi", {"colognechip", "gatemate_pgm", "", DBUS4, DBUS5, CBUS0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"gatemate_evb_jtag", {"", "gatemate_evb_jtag", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"gatemate_evb_spi", {"colognechip", "gatemate_evb_spi", "", DBUS4, DBUS5, CBUS0, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"ice40_generic", {"lattice", "ft2232", "", DBUS7, DBUS6, 0, COMM_SPI, (), {DBUS4, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"ft2232_spi", {"none", "ft2232", "", DBUS7, DBUS6, 0, COMM_SPI, (), {DBUS4, DBUS0, DBUS2, DBUS1, 0, 0}, 0, 0, 0, -1}}, {"icebreaker-bitsy", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 7504, 24902, 0}}, {"machXO2EVN", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"machXO3SK", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"machXO3EVN", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"licheeTang", {"", "anlogicCable", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"littleBee", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"spartanEdgeAccelBoard", {"", "", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"pipistrello", {"", "ft2232", "xc6slx45csg324", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"minispartan6", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"orangeCrab", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 23280, 0}}, {"qmtechCycloneIV", {"", "", "ep4ce1523", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechCycloneV", {"", "", "5ce223", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechCycloneV_5ce523", {"", "", "5ce523", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"qmtechKintex7", {"", "", "xc7k325tffg676", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"genesys2", {"", "digilent_b", "xc7k325tffg900", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"pynq_z2", {"", "ft2232", "xc7z020clg400", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"spec150", {"", "", "xc6slx150tfgg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"runber", {"", "ft232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano", {"", "ch552_jtag", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano1k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano4k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangnano9k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tangprimer20k", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"tec0117", {"", "ft2232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"orbtrace_dfu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 4617, 13378, 1}}, {"ulx2s", {"", "ft232RL", "", 0, 0, 0, COMM_JTAG, {FT232RL_RI, FT232RL_DSR, FT232RL_CTS, FT232RL_DCD}, (), 0, 0, 0, -1}}, {"ulx3s", {"", "ft231X", "", 0, 0, 0, COMM_JTAG, {FT232RL_DCD, FT232RL_DSR, FT232RL_RI, FT232RL_CTS}, (), 0, 0, 0, -1}}, {"ulx3s_dfu", {"", "dfu", "", 0, 0, 0, COMM_DFU, (), (), 0, 7504, 24907, 0}}, {"ecpix5", {"", "ecpix5-debug", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xtrx", {"", "", "xc7a50tcpg236", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xyloni_jtag", {"", "efinix_jtag_ft4232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"xyloni_spi", {"efinix", "efinix_spi_ft4232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"trion_t120_bga576", {"efinix", "efinix_spi_ft2232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"trion_t120_bga576_jtag", {"", "ft2232_b", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"titanium_ti60_f225", {"efinix", "efinix_spi_ft4232", "", DBUS4, DBUS5, DBUS7, COMM_SPI, (), {DBUS3, DBUS0, DBUS2, DBUS1, DBUS6, 0}, 0, 0, 0, -1}}, {"titanium_ti60_f225_jtag", {"", "efinix_jtag_ft4232", "", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zc706", {"", "jtag-smt2-nc", "xc7z045ffg900", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zcu102", {"", "jtag-smt2-nc", "xczu9egffvb1156", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zcu106", {"", "jtag-smt2-nc", "xczu7evffvc1156", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"zedboard", {"", "digilent_hs2", "xc7z020clg484", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"papilio_one", {"", "papilio", "xc3s500evq100", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}, {"usrpx300", {"", "digilent", "xc7k325tffg900", 0, 0, 0, COMM_JTAG, (), (), (15 * 1000000), 0, 0, -1}}, {"usrpx310", {"", "digilent", "xc7k410tffg900", 0, 0, 0, COMM_JTAG, (), (), (15 * 1000000), 0, 0, -1}}, {"vcu118", {"", "jtag-smt2-nc", "xcvu9pl2flga2104e", 0, 0, 0, COMM_JTAG, (), (), 0, 0, 0, -1}}}' from '' to 'std::map, target_board_t>' 208 | }; | ^ | | | In file included from /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:15: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:23:32: error: 'uint32_t' has not been declared 23 | virtual int setClkFreq(uint32_t clkHZ) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:24:17: error: 'uint32_t' does not name a type 24 | virtual uint32_t getClkFreq() {return _clkHZ;} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:1:1: note: 'uint32_t' is defined in header ''; did you forget to '#include '? +++ |+#include 1 | // SPDX-License-Identifier: Apache-2.0 /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:32:30: error: 'uint8_t' has not been declared 32 | virtual int writeTMS(uint8_t *tms, uint32_t len, bool flush_buffer) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:32:44: error: 'uint32_t' has not been declared 32 | virtual int writeTMS(uint8_t *tms, uint32_t len, bool flush_buffer) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:30: error: 'uint8_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:43: error: 'uint8_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:43:56: error: 'uint32_t' has not been declared 43 | virtual int writeTDI(uint8_t *tx, uint8_t *rx, uint32_t len, bool end) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:40: error: 'uint8_t' does not name a type 52 | virtual bool writeTMSTDI(const uint8_t *tms, const uint8_t *tdi, | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:40: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:60: error: 'uint8_t' does not name a type 52 | virtual bool writeTMSTDI(const uint8_t *tms, const uint8_t *tdi, | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:52:60: note: 'uint8_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:53:25: error: 'uint8_t' has not been declared 53 | uint8_t *tdo, uint32_t len) | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:53:39: error: 'uint32_t' has not been declared 53 | uint8_t *tdo, uint32_t len) | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:31: error: 'uint8_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:44: error: 'uint8_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:62:57: error: 'uint32_t' has not been declared 62 | virtual int toggleClk(uint8_t tms, uint8_t tdi, uint32_t clk_len) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:82:9: error: 'uint32_t' does not name a type 82 | uint32_t _clkHZ; /*!< current clk frequency */ | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtagInterface.hpp:82:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:20:44: error: 'uint32_t' has not been declared 20 | const std::string &serial, uint32_t clkHZ, int8_t verbose, | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:27:24: error: 'uint32_t' has not been declared 27 | int setClkFreq(uint32_t clkHZ) { return _jtag->setClkFreq(clkHZ);} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:28:9: error: 'uint32_t' does not name a type 28 | uint32_t getClkFreq() { return _jtag->getClkFreq();} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:16:1: note: 'uint32_t' is defined in header ''; did you forget to '#include '? 15 | #include "jtagInterface.hpp" +++ |+#include 16 | /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:48:9: error: 'uint32_t' does not name a type 48 | uint32_t get_target_device_id() {return _devices_list[device_index];} | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:48:9: note: 'uint32_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:55:9: error: 'uint16_t' does not name a type 55 | uint16_t device_select(uint16_t index); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:55:9: note: 'uint16_t' is defined in header ''; did you forget to '#include '? /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:62:27: error: 'uint32_t' has not been declared 62 | bool insert_first(uint32_t device_id, uint16_t irlength); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:62:47: error: 'uint16_t' has not been declared 62 | bool insert_first(uint32_t device_id, uint16_t irlength); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:108:51: error: 'uint32_t' has not been declared 108 | const jtag_pins_conf_t *pin_conf, uint32_t clkHZ, | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/jtag.hpp:119:51: error: 'uint32_t' has not been declared 119 | bool search_and_insert_device_with_idcode(uint32_t idcode); | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:46:40: error: 'uint32_t' has not been declared 46 | virtual bool dumpFlash(uint32_t base_addr, uint32_t len) { | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:46:60: error: 'uint32_t' has not been declared 46 | virtual bool dumpFlash(uint32_t base_addr, uint32_t len) { | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/device.hpp:49:44: error: 'uint32_t' has not been declared 49 | virtual bool protect_flash(uint32_t len) = 0; | ^~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ice40.hpp:26:22: error: 'bool Ice40::dumpFlash(uint32_t, uint32_t)' marked 'override', but does not override 26 | bool dumpFlash(uint32_t base_addr, uint32_t len) override; | ^~~~~~~~~ /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/src/ice40.hpp:27:22: error: 'bool Ice40::protect_flash(uint32_t)' marked 'override', but does not override 27 | bool protect_flash(uint32_t len) override; | ^~~~~~~~~~~~~ make[3]: *** [CMakeFiles/openFPGALoader.dir/build.make:174: CMakeFiles/openFPGALoader.dir/src/efinix.cpp.o] Error 1 make[3]: *** Waiting for unfinished jobs.... make[3]: *** [CMakeFiles/openFPGALoader.dir/build.make:216: CMakeFiles/openFPGALoader.dir/src/ice40.cpp.o] Error 1 make[3]: Leaving directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[2]: *** [CMakeFiles/Makefile2:83: CMakeFiles/openFPGALoader.dir/all] Error 2 make[2]: Leaving directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make[1]: *** [Makefile:136: all] Error 2 make[1]: Leaving directory '/home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0' make: *** [package/pkg-generic.mk:283: /home/thomas/autobuild/instance-2/output-1/build/openfpgaloader-0.10.0/.stamp_built] Error 2 make: Leaving directory '/home/thomas/autobuild/instance-2/buildroot'