Using AT91S001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_sdramc.cfg (at91sam9263.cfg) Using AT91S002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263.cfg (at91sam9261_matrix.cfg) Using AT91S003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261_matrix.cfg (at91sam9263_matrix.cfg) Using AT91S004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263_matrix.cfg (at91sam9_smc.cfg) Using AT91S005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_smc.cfg (at91sam9_init.cfg) Using EMCRA000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_twr-vf6-som-bsb.cfg (emcraft_vf6-som.cfg) Using ATMEL000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4l8_xplained_pro.cfg (atmel_sam4e_ek.cfg) Using MICRO000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_sama5d27_som1_kit1.cfg (microchip_saml11_xplained_pro.cfg) Using ATMEL001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4e_ek.cfg (atmel_samr21_xplained_pro.cfg) Using ATMEL002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samr21_xplained_pro.cfg (atmel_samg53_xplained_pro.cfg) Using ATMEL003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg53_xplained_pro.cfg (atmel_samc20_xplained_pro.cfg) Using LINKS000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys-wrt54gl.cfg (linksys-wag200g.cfg) Using STM32000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32429i_eval_stlink.cfg (stm32429i_eval.cfg) Using ST_NU000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_g4.cfg (st_nucleo_h745zi.cfg) Using ATMEL004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc20_xplained_pro.cfg (atmel_sam4s_ek.cfg) Using RENES000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_falcon.cfg (renesas_silk.cfg) Using ESP32000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32s2-kaluga-1.cfg (esp32s2-bridge.cfg) Using HILSC000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxeb500hmi.cfg (hilscher_nxdb500sys.cfg) Using XTENS000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xtensa-kc705-onboard.cfg (xtensa-kc705-ext-dap.cfg) Using ST_NU001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h745zi.cfg (st_nucleo_l5.cfg) Using STM32001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32439i_eval.cfg (stm32439i_eval_stlink.cfg) Using RENES001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_silk.cfg (renesas_dk-s7g2.cfg) Using OLIME000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam9_l9260.cfg (olimex_stm32_h107.cfg) Using ST_NU002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l5.cfg (st_nucleo_l4.cfg) Using OLIME001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h107.cfg (olimex_stm32_h103.cfg) Using HILSC001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxdb500sys.cfg (hilscher_nxsb100.cfg) Using HILSC002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxsb100.cfg (hilscher_nxhx50.cfg) Using ST_NU003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l4.cfg (st_nucleo_g0.cfg) Using OLIME002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h103.cfg (olimex_sam7_ex256.cfg) Using TI_BE000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone-base.cfg (ti_beaglebone_black.cfg) Using STM32002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h7x_dual_qspi.cfg (stm32h7x3i_eval.cfg) Using ST_NU004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_g0.cfg (st_nucleo_f103rb.cfg) Using HITEX000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_lpc1768stick.cfg (hitex_lpc2929.cfg) Using STM32003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f469discovery.cfg (stm32f469i-disco.cfg) Using TI_BE001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone_black.cfg (ti_beaglebone.cfg) Using ST_NU005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f103rb.cfg (st_nucleo_wb55.cfg) Using SNPS_000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.1.cfg (snps_em_sk.cfg) Using ST_NU006.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_wb55.cfg (st_nucleo_l1.cfg) Using EK_TM000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-tm4c1294xl.cfg (ek-tm4c123gxl.cfg) Using MICRO001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_saml11_xplained_pro.cfg (microchip_same54_xplained_pro.cfg) Using AT91S000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9263-ek.cfg (at91sam9261-ek.cfg) Using RENES002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_dk-s7g2.cfg (renesas_salvator-xs.cfg) Using ATMEL005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_ek.cfg (atmel_sam3u_ek.cfg) Using TI_BE002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone.cfg (ti_beagleboard.cfg) Using TI_BE003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard.cfg (ti_beagleboard_xm.cfg) Using STM32004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f413h-disco.cfg (stm32f412g-disco.cfg) Using SIFIV000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-e31arty.cfg (sifive-e51arty.cfg) Using TI_TM000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_tmdx570ls31usb.cfg (ti_tmdx570ls20susb.cfg) Using ATMEL006.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3u_ek.cfg (atmel_sam3n_ek.cfg) Using TI_CC000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc13x2_launchpad.cfg (ti_cc13x0_launchpad.cfg) Using LINKS001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys-wag200g.cfg (linksys_nslu2.cfg) Using HILSC003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx50.cfg (hilscher_nxhx10.cfg) Using VD_A5000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/vd_a53x2_dap.cfg (vd_a53x2_jtag.cfg) Using MARSO000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod3.cfg (marsohod.cfg) Using AT91S001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9261-ek.cfg (at91sam9g20-ek.cfg) Using XMC45000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-general.cfg (xmc4500-relax.cfg) Using EMCRA001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_vf6-som.cfg (emcraft_imx8m-som-bsb.cfg) Using ATMEL007.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3n_ek.cfg (atmel_samd20_xplained_pro.cfg) Using SPEAR000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear320cpu_mod.cfg (spear320cpu.cfg) Using ST_NU007.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l1.cfg (st_nucleo_l073rz.cfg) Using KEIL_000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/keil_mcb1700.cfg (keil_mcb2140.cfg) Using EK_LM000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s8962.cfg (ek-lm3s811.cfg) Using OLIME003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam7_ex256.cfg (olimex_stm32_h405.cfg) Using ST_NU008.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l073rz.cfg (st_nucleo_f4.cfg) Using DIGIL000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_atlys.cfg (digilent_zedboard.cfg) Using EK_LM001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s9d92.cfg (ek-lm3s9b9x.cfg) Using OLIME004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_lpc_h2148.cfg (olimex_LPC2378STK.cfg) Using NORDI000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf52_dk.cfg (nordic_nrf51822_mkit.cfg) Using NXP_F000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nxp_frdm-ls1012a.cfg (nxp_frdm-k64f.cfg) Using ATMEL008.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd20_xplained_pro.cfg (atmel_samd21_xplained_pro.cfg) Using ST_NU009.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f4.cfg (st_nucleo_8l152r8.cfg) Using TI_EK000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_ek-tm4c123gxl.cfg (ti_ek-tm4c1294xl.cfg) Using ATMEL009.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd21_xplained_pro.cfg (atmel_sam3x_ek.cfg) Using XMC45001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-relax.cfg (xmc4500-application-kit-sdram.cfg) Using RENES003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_salvator-xs.cfg (renesas_gr_peach.cfg) Using ST_NU00A.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_8l152r8.cfg (st_nucleo_8s208rb.cfg) Using SNPS_001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk.cfg (snps_em_sk_v1.cfg) Using STM32005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm320518_eval_stlink.cfg (stm320518_eval.cfg) Using ST_NU00B.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_8s208rb.cfg (st_nucleo_f3.cfg) Using MICRO002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_same54_xplained_pro.cfg (microchip_explorer16.cfg) Using ESP32001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32s3-bridge.cfg (esp32s3-ftdi.cfg) Using XTENS001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xtensa-kc705-ext-dap.cfg (xtensa-kc705-ext.cfg) Using DIGIL001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_zedboard.cfg (digilent_nexys_video.cfg) Using ATMEL00A.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3x_ek.cfg (atmel_sam3s_ek.cfg) Using STM32006.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32mp13x_dk.cfg (stm32mp15x_dk2.cfg) Using STM32007.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f429disc1.cfg (stm32f429discovery.cfg) Using STM32008.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h747i-disco.cfg (stm32h745i-disco.cfg) Using ASUS_000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/asus-rt-n16.cfg (asus-rt-n66u.cfg) Using DP_BU000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/dp_busblaster_v4.cfg (dp_busblaster_v3.cfg) Using EK_LM002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s811.cfg (ek-lm3s811-revb.cfg) Using MBED_000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/mbed-lpc11u24.cfg (mbed-lpc1768.cfg) Using TP_LI000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/tp-link_tl-mr3020.cfg (tp-link_wdr4300.cfg) Using MARSO001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod.cfg (marsohod2.cfg) Using DIOLA000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/diolan_lpc4350-db1.cfg (diolan_lpc4357-db1.cfg) Using STEVA000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb007v1.cfg (steval-idb011v1.cfg) Using OLIME005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h405.cfg (olimex_stm32_p107.cfg) Using NORDI001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51822_mkit.cfg (nordic_nrf51_dk.cfg) Using NETGE000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/netgear-dg834v3.cfg (netgear-wg102.cfg) Using TI_CC001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc26x0_launchpad.cfg (ti_cc26x2_launchpad.cfg) Using DIGIL002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_nexys_video.cfg (digilent_analog_discovery.cfg) Using RENES004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_gr_peach.cfg (renesas_stout.cfg) Using SPEAR001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear310evb20.cfg (spear310evb20_mod.cfg) Using AT91R000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91rm9200-ek.cfg (at91rm9200-dk.cfg) Using OLIME006.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_p107.cfg (olimex_sam7_la2.cfg) Using SIFIV001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-hifive1.cfg (sifive-hifive1-revb.cfg) Using HITEX001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_stm32-performancestick.cfg (hitex_str9-comstick.cfg) Using ST_NU00C.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f3.cfg (st_nucleo_f7.cfg) Using ATMEL00B.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam7s-ek.cfg (atmel_at91sam9260-ek.cfg) Using NXP_R000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nxp_rdb-ls1088a.cfg (nxp_rdb-ls1046a.cfg) Using ATMEL00C.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3s_ek.cfg (atmel_saml21_xplained_pro.cfg) Using NORDI002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51_dk.cfg (nordic_nrf52_ftx232.cfg) Using ST_NU00D.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f7.cfg (st_nucleo_h743zi.cfg) Using ATMEL00D.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_saml21_xplained_pro.cfg (atmel_sam4s_xplained_pro.cfg) Using ATMEL00E.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_xplained_pro.cfg (atmel_samg55_xplained_pro.cfg) Using SNPS_002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v1.cfg (snps_em_sk_v2.2.cfg) Using ATMEL00F.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg55_xplained_pro.cfg (atmel_same70_xplained.cfg) Using NDS32000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nds32_xc5.cfg (nds32_xc7.cfg) Using MICRO003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_explorer16.cfg (microchip_same51_curiosity_nano.cfg) Using ATMEL00G.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_same70_xplained.cfg (atmel_samv71_xplained_ultra.cfg) Using TI_PA000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_pandaboard_es.cfg (ti_pandaboard.cfg) Using ATMEL00H.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9260-ek.cfg (atmel_at91sam9rl-ek.cfg) Using ST_NU00E.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h743zi.cfg (st_nucleo_f0.cfg) Using ATMEL00I.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samv71_xplained_ultra.cfg (atmel_samd10_xplained_mini.cfg) Using STEVA001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb011v1.cfg (steval-idb008v1.cfg) Using STM32009.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3220g_eval.cfg (stm3220g_eval_stlink.cfg) Using TWR_V000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/twr-vf65gs10.cfg (twr-vf65gs10_cmsisdap.cfg) Using AMPER000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ampere_qs_mq_2s.cfg (ampere_qs_mq_1s.cfg) Using STEVA002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb008v1.cfg (steval-idb012v1.cfg) Using ATMEL00J.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd10_xplained_mini.cfg (atmel_samc21_xplained_pro.cfg) Using HILSC004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx10.cfg (hilscher_nxhx500.cfg) Using SPANS000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spansion_sk-fm4-u120-9b560.cfg (spansion_sk-fm4-176l-s6e2cc.cfg) Using RENES005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_stout.cfg (renesas_porter.cfg) Using ATMEL00K.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc21_xplained_pro.cfg (atmel_samd11_xplained_pro.cfg) Using ESP32002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/esp32-wrover-kit-3.3v.cfg (esp32-wrover-kit-1.8v.cfg) Using SPEAR002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear300evb_mod.cfg (spear300evb.cfg) Using STM3200A.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3241g_eval_stlink.cfg (stm3241g_eval.cfg) Using XILIN000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcu.cfg (xilinx-xcf-p.cfg) Using XILIN001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-p.cfg (xilinx-xc7.cfg) Using XILIN002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xc7.cfg (xilinx-xcr3256.cfg) Using XILIN003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcr3256.cfg (xilinx-xcf-s.cfg) Using XILIN004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-s.cfg (xilinx-xc6s.cfg) Using LATTI000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/fpga/lattice_machxo3.cfg (lattice_ecp5.cfg) Using STLIN000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2-1.cfg (stlink-v2.cfg) Using STLIN001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2.cfg (stlink-v1.cfg) Using ALTER000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/altera-usb-blaster2.cfg (altera-usb-blaster.cfg) Using RASPB000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/raspberrypi2-native.cfg (raspberrypi-native.cfg) Using BEAGL000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/beaglebone-swd-native.cfg (beaglebone-jtag-native.cfg) Using OLIME000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-jtag-swd.cfg (olimex-arm-usb-tiny-h.cfg) Using LUMIN000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary.cfg (luminary-lm3s811.cfg) Using JTAGK000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/jtagkey2p.cfg (jtagkey2.cfg) Using DP_BU000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/dp_busblaster.cfg (dp_busblaster_kt-link.cfg) Using SIGNA000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/signalyzer.cfg (signalyzer-lite.cfg) Using LUMIN001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-lm3s811.cfg (luminary-icdi.cfg) Using OLIME001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-tiny-h.cfg (olimex-arm-usb-ocd-h.cfg) Using HILSC000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_re.cfg (hilscher_nxhx500_re.cfg) Using DIGIL000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_hs3.cfg (digilent_jtag_smt2.cfg) Using HILSC001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_re.cfg (hilscher_nxhx500_etm.cfg) Using HILSC002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_etm.cfg (hilscher_nxhx50_etm.cfg) Using TURTE000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/turtelizer2-revC.cfg (turtelizer2-revB.cfg) Using MINIM000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/minimodule.cfg (minimodule-swd.cfg) Using DIGIL001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2.cfg (digilent-hs2.cfg) Using HILSC003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_etm.cfg (hilscher_nxhx10_etm.cfg) Using OLIME002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd-h.cfg (olimex-arm-usb-ocd.cfg) Using FLOSS000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flossjtag-noeeprom.cfg (flossjtag.cfg) Using ASHLI000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/ashling-opella-ld-swd.cfg (ashling-opella-ld-jtag.cfg) Using DIGIL002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent-hs2.cfg (digilent_jtag_smt2_nc.cfg) Using FLYSW000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flyswatter.cfg (flyswatter2.cfg) Using DIGIL003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2_nc.cfg (digilent-hs1.cfg) Using CALAO000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/calao-usb-a9260-c01.cfg (calao-usb-a9260-c02.cfg) Using OPENO000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/openocd-usb-hs.cfg (openocd-usb.cfg) Using AT91S000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9261.cfg (at91sam9260_ext_RAM_ext_flash.cfg) Using ATHER000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2313.cfg (atheros_ar2315.cfg) Using SAMSU000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2410.cfg (samsung_s3c2450.cfg) Using AT91S001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9260_ext_RAM_ext_flash.cfg (at91sam9.cfg) Using AT91S002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9.cfg (at91sam9g45.cfg) Using AT91S003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u1e.cfg (at91sam3sXX.cfg) Using RENES000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen3.cfg (renesas_rcar_reset_common.cfg) Using RENES001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_reset_common.cfg (renesas_r7s72100.cfg) Using RENES002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_r7s72100.cfg (renesas_rz_g2.cfg) Using XTENS000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-esp32s3.cfg (xtensa-core-esp32s2.cfg) Using AT91S004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7a2.cfg (at91sam7x512.cfg) Using XTENS001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-esp32s2.cfg (xtensa-core-nxp_rt600.cfg) Using AT91S005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3sXX.cfg (at91sam3u2c.cfg) Using AT91S006.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2c.cfg (at91sam3ax_4x.cfg) Using HILSC000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx50.cfg (hilscher_netx10.cfg) Using XTENS002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/xtensa-core-nxp_rt600.cfg (xtensa-core-esp32.cfg) Using AT91S007.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_4x.cfg (at91sam3nXX.cfg) Using ATHER001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2315.cfg (atheros_ar9331.cfg) Using AT91S008.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sXX.cfg (at91sam4cXXX.cfg) Using HILSC001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx10.cfg (hilscher_netx500.cfg) Using RENES003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rz_g2.cfg (renesas_s7g2.cfg) Using AT91S009.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g45.cfg (at91sam9g10.cfg) Using AT91S00A.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g10.cfg (at91sam9rl.cfg) Using AT91S00B.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3nXX.cfg (at91sam3ax_xx.cfg) Using AT91S00C.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_xx.cfg (at91sam3ax_8x.cfg) Using AT91S00D.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4cXXX.cfg (at91sam4lXX.cfg) Using RENES004.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_s7g2.cfg (renesas_rz_five.cfg) Using AT91S00E.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9rl.cfg (at91sam9263.cfg) Using TI_TM000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570ls20xxx.cfg (ti_tms570ls3137.cfg) Using ATMEG000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atmega128.cfg (atmega128rfa1.cfg) Using AT91S00F.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7x512.cfg (at91sam7sx.cfg) Using AT91S00G.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_8x.cfg (at91sam3u1c.cfg) Using SAMSU001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2450.cfg (samsung_s3c6410.cfg) Using AT91S00H.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4lXX.cfg (at91sam4sd32x.cfg) Using TI_TM001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570ls3137.cfg (ti_tms570.cfg) Using SAMSU002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c6410.cfg (samsung_s3c4510.cfg) Using AT91S00I.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u1c.cfg (at91sam3uxx.cfg) Using AT91S00J.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9263.cfg (at91sam9260.cfg) Using STM32000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32mp15x.cfg (stm32mp13x.cfg) Using AT91S00K.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3uxx.cfg (at91sam3u4c.cfg) Using AT91S00L.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9260.cfg (at91sam9g20.cfg) Using AT91S00M.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4c.cfg (at91sam3u2e.cfg) Using STM32001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32h7x.cfg (stm32h7x_dual_bank.cfg) Using SAMSU003.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c4510.cfg (samsung_s3c2440.cfg) Using AT91S00N.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sd32x.cfg (at91sam4XXX.cfg) Using TI_CC000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc26x2.cfg (ti_cc26x0.cfg) Using AT91S00O.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4XXX.cfg (at91sam4c32x.cfg) Using AT91S00P.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2e.cfg (at91sam3u4e.cfg) Using ALTER000.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/altera_fpgasoc_arria10.cfg (altera_fpgasoc.cfg) Using RENES005.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rz_five.cfg (renesas_rcar_gen2.cfg) Using AT91S00Q.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7sx.cfg (at91sam7x256.cfg) Using AT91S00R.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4e.cfg (at91sam3XXX.cfg) Using TI_CC001.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc13x0.cfg (ti_cc13x2.cfg) Using AT91S00S.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7x256.cfg (at91sam7se512.cfg) Using ATHER002.CFG;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar9331.cfg (atheros_ar9344.cfg) Using FONT_000.CSS;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/font-awesome/css/font-awesome.css (font-awesome.min.css) Using FONTA000.WOF;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/share/font-awesome/fonts/fontawesome-webfont.woff (fontawesome-webfont.woff2) Using LIBDE000.;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/bin/libdeflate-gzip (libdeflate-gunzip) Using GEOIP000.;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/usr/bin/geoiplookup (geoiplookup6) Using EXECL000.;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/bin/execlineb (execline-umask) Using EXECL001.;1 for /home/buildroot/autobuild/instance-0/output-1/build/buildroot-fs/cloop/target/bin/execline-umask (execline-cd) [ 9] Blk# 0, [ratio/avg. 4%/ 4%], avg.speed: 65536 b/s, ETA: 2147483646s [ 9] Blk# 100, [ratio/avg. 52%/ 32%], avg.speed: 6619136 b/s, ETA: 21262213s 38.29% done, estimate finish Mon Jun 26 05:17:36 2023 [ 9] Blk# 200, [ratio/avg. 39%/ 46%], avg.speed: 13172736 b/s, ETA: 10683997s 76.67% done, estimate finish Mon Jun 26 05:17:36 2023 [ 9] Blk# 300, [ratio/avg. 55%/ 48%], avg.speed: 19726336 b/s, ETA: 7134496s Total translation table size: 0 Total rockridge attributes bytes: 144249 Total directory bytes: 339968 Path table size(bytes): 1060 Max brk space used 172000 13062 extents written (25 MB) [ 9] Blk# 400, [ratio/avg. 50%/ 48%], avg.speed: 26279936 b/s, ETA: 5355319s Statistics: gzip(0): 0 ( 0%) gzip(1): 0 ( 0%) gzip(2): 0 ( 0%) gzip(3): 0 ( 0%) gzip(4): 0 ( 0%) gzip(5): 0 ( 0%) gzip(6): 0 ( 0%) gzip(7): 0 ( 0%) gzip(8): 0 ( 0%) gzip(9): 409 (1e+02%) 7zip: 0 ( 0%) Writing index for 409 block(s)... Writing compressed data... ln -snf /home/buildroot/autobuild/instance-0/output-1/host/sh4-buildroot-linux-uclibc/sysroot /home/buildroot/autobuild/instance-0/output-1/staging make: Leaving directory '/home/buildroot/autobuild/instance-0/buildroot' make: Entering directory '/home/buildroot/autobuild/instance-0/buildroot' >>> Buildroot 2023.02.2 Collecting legal info COPYING: OK (sha256: 9755181e27175cb3510b4da8629caa406fb355a19aa8e7d55f06bf8ab33323c4) >>> toolchain-buildroot Collecting legal info >>> host-gcc-final 11.4.0 Collecting legal info >>> host-binutils 2.38 Collecting legal info COPYING3: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) COPYING.LIB: OK (sha256: 56bdea73b6145ef6ac5259b3da390b981d840c24cb03b8e1cbc678de7ecfa18d) >>> host-skeleton Collecting legal info >>> host-gmp 6.2.1 Collecting legal info COPYING.LESSERv3: OK (sha256: a853c2ffec17057872340eee242ae4d96cbf2b520ae27d903e1b2fef1a5f9d1c) COPYINGv2: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) >>> host-m4 1.4.19 Collecting legal info COPYING: OK (sha256: 3972dc9744f6499f0f9b2dbf76696f2ae7ad8af9b23dde66d6af86c9dfb36986) >>> host-mpc 1.2.1 Collecting legal info COPYING.LESSER: OK (sha256: da7eabb7bafdf7d3ae5e9f223aa5bdc1eece45ac569dc21b3b037520b4464768) >>> host-mpfr 4.1.1 Collecting legal info COPYING.LESSER: OK (sha256: e3a994d82e644b03a792a930f574002658412f62407f5fee083f2555c5f23118) >>> uclibc 1.0.43 Collecting legal info COPYING.LIB: OK (sha256: 6095e9ffa777dd22839f7801aa845b31c9ed07f3d6bf8a26dc5d2dec8ccc0ef3) >>> host-gcc-initial 11.4.0 Collecting legal info >>> linux-headers 4.14.316 Collecting legal info >>> skeleton Collecting legal info >>> skeleton-init-sysv Collecting legal info >>> skeleton-init-common Collecting legal info >>> toolchain Collecting legal info >>> argp-standalone 1.4.1 Collecting legal info README.md: OK (sha256: b5db0353a5b1902fc8a2e055d8899dd0c189ce73a31e67af9a0ffc24711b63f0) >>> host-autoconf 2.71 Collecting legal info COPYINGv3: OK (sha256: 3972dc9744f6499f0f9b2dbf76696f2ae7ad8af9b23dde66d6af86c9dfb36986) COPYING.EXCEPTION: OK (sha256: 1f1cde9fb68b9b3cff18c420894bec5bd4defacd700b7d13df54a887301e5350) >>> host-libtool 2.4.6 Collecting legal info COPYING: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) libltdl/COPYING.LIB: OK (sha256: dc626520dcd53a22f727af3ee42c770e56c97a64fe3adb063799d8ab032fe551) >>> host-automake 1.16.5 Collecting legal info COPYING: OK (sha256: ab15fd526bd8dd18a9e77ebc139656bf4d33e97fc7238cd11bf60e2b9b8666c6) >>> armbian-firmware 5d685ad233b4dfd03a4d025fa0061f6b0f850cb3 Collecting legal info >>> host-babeltrace2 2.0.4 Collecting legal info mit-license.txt: OK (sha256: 2f801b7c2494850c3d91da820eb230502dc0192c9d0db024db37ec08d0be1434) lgpl-2.1.txt: OK (sha256: c68fd1ffc1623ea0dace21abf57305818e4998a4ae0c79010aaaa943eb660b55) gpl-2.0.txt: OK (sha256: ab15fd526bd8dd18a9e77ebc139656bf4d33e97fc7238cd11bf60e2b9b8666c6) LICENSE: OK (sha256: d919c6347409efde6dea63c6f349fb06bc060a2b721fc3df07b5f720b5f1d60e) >>> host-elfutils 0.186 Collecting legal info COPYING: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) COPYING-GPLV2: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) COPYING-LGPLV3: OK (sha256: da7eabb7bafdf7d3ae5e9f223aa5bdc1eece45ac569dc21b3b037520b4464768) >>> host-bzip2 1.0.8 Collecting legal info LICENSE: OK (sha256: c6dbbf828498be844a89eaa3b84adbab3199e342eb5cb2ed2f0d4ba7ec0f38a3) >>> host-pkgconf 1.6.3 Collecting legal info COPYING: OK (sha256: 07ee94b50a41ee3fc4e13a9b9c60b26fc28488494c465639f7e5f07a3952ec04) >>> host-xz 5.2.10 Collecting legal info COPYING: OK (sha256: bcb02973ef6e87ea73d331b3a80df7748407f17efdb784b61b47e0e610d3bb5c) COPYING.GPLv2: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) COPYING.GPLv3: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) COPYING.LGPLv2.1: OK (sha256: dc626520dcd53a22f727af3ee42c770e56c97a64fe3adb063799d8ab032fe551) >>> host-zlib Collecting legal info >>> host-libzlib 1.2.13 Collecting legal info LICENSE: OK (sha256: 845efc77857d485d91fb3e0b884aaa929368c717ae8186b66fe1ed2495753243) >>> host-libglib2 2.72.3 Collecting legal info COPYING: OK (sha256: dc626520dcd53a22f727af3ee42c770e56c97a64fe3adb063799d8ab032fe551) >>> host-gettext Collecting legal info >>> host-gettext-tiny 0.3.2 Collecting legal info LICENSE: OK (sha256: 4c938aa3d8fa3c184bba5e87a8a2febc89560627c9d0567536879e3eca1a7b4f) extra/COPYING: OK (sha256: e79e9c8a0c85d735ff98185918ec94ed7d175efc377012787aebcf3b80f0d90b) >>> host-libffi 3.4.4 Collecting legal info LICENSE: OK (sha256: 2c9c2acb9743e6b007b91350475308aee44691d96aa20eacef8e199988c8c388) >>> host-meson 1.0.0 Collecting legal info COPYING: OK (sha256: cfc7749b96f63bd31c3c42b5c471bf756814053e847c10f3eb003417bc523d30) >>> host-ninja 1.11.1.g95dee.kitware.jobserver-1 Collecting legal info COPYING: OK (sha256: eb7e9ab9690124c5c9f42bdc81383d886a3dede26345b6ed15bbad7caf81f7ea) >>> host-cmake 3.22.3 Collecting legal info Copyright.txt: OK (sha256: f1a4326266aada65b307dac05161a9d02d3dba4fb08b50cb26e3c24cc8a86e97) >>> host-python-setuptools 65.6.3 Collecting legal info LICENSE: OK (sha256: db3f0246b1f9278f15845b99fec478b8b506eb76487993722f8c6e254285faf8) >>> host-python3 3.11.3 Collecting legal info LICENSE: OK (sha256: 3b2f81fe21d181c499c59a256c8e1968455d6689d269aa85373bfb6af41da3bf) >>> host-autoconf-archive 2022.09.03 Collecting legal info COPYING: OK (sha256: e6037104443f9a7829b2aa7c5370d0789a7bda3ca65a0b904cdc0c2e285d9195) COPYING.EXCEPTION: OK (sha256: 23ed0b84fa023bfa33433b498192cd08a3bfb1f4864a8ed791a84f3eb48b2dd2) >>> host-expat 2.5.0 Collecting legal info COPYING: OK (sha256: 122f2c27000472a201d337b9b31f7eb2b52d091b02857061a8880371612d9534) >>> host-pcre 8.45 Collecting legal info LICENCE: OK (sha256: 51b3dea44f63338b84b9c97b3d793826a8397309068cf9379a423216ab8ea5b2) >>> host-util-linux 2.38 Collecting legal info README.licensing: OK (sha256: 869660b5269f4f40a8a679da7f403ea3a6e71d46087aab5e14871b09bcb55955) Documentation/licenses/COPYING.BSD-3-Clause: OK (sha256: 9b718a9460fed5952466421235bc79eb49d4e9eacc920d7a9dd6285ab8fd6c6d) Documentation/licenses/COPYING.BSD-4-Clause-UC: OK (sha256: ba7640f00d93e72e92b94b9d71f25ec53bac2f1682f5c4adcccb0018359f60f8) Documentation/licenses/COPYING.GPL-2.0-or-later: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) Documentation/licenses/COPYING.ISC: OK (sha256: e53348ce276358e9997014071c5294b36a18c4b34f32f00ee57b9acce0aafd63) Documentation/licenses/COPYING.LGPL-2.1-or-later: OK (sha256: dc626520dcd53a22f727af3ee42c770e56c97a64fe3adb063799d8ab032fe551) >>> bitstream 1.5 Collecting legal info COPYING: OK (sha256: 9644a812f9a8377a59acb7092a36ce8882a39743425d9171a8fb84637e2f6e04) >>> blktrace 1.3.0 Collecting legal info COPYING: OK (sha256: 204d8eff92f95aac4df6c8122bc1505f468f3a901e5a4cc08940e0ede1938994) >>> libaio 0.3.113 Collecting legal info COPYING: OK (sha256: 5bbcbb737e60fe9deba08ecbd00920cfcc3403ba2e534c64fdeea49d6bb87509) >>> busybox 1.36.0 Collecting legal info LICENSE: OK (sha256: bbfc9843646d483c334664f651c208b9839626891d8f17604db2146962f43548) archival/libarchive/bz/LICENSE: OK (sha256: b5a136ed67798e51fe2e0ca0b2a21cb01b904ff0c9f7d563a6292e276607e58f) >>> sysvinit 2.99 Collecting legal info COPYING: OK (sha256: ab15fd526bd8dd18a9e77ebc139656bf4d33e97fc7238cd11bf60e2b9b8666c6) >>> chrony 4.3 Collecting legal info COPYING: OK (sha256: ab15fd526bd8dd18a9e77ebc139656bf4d33e97fc7238cd11bf60e2b9b8666c6) >>> libcap 2.65 Collecting legal info License: OK (sha256: f58c80bcce8c929db39a23c32e924876e3311f3ffa54f66076c38056d38fa59b) >>> host-gperf 3.1 Collecting legal info COPYING: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) >>> dhrystone 2 Collecting legal info >>> dvblast 3.4 Collecting legal info COPYING: OK (sha256: 94f68aec169fb6c9937eade757251714d38a56812be5dbfc3973914a71ad8d2d) COPYING.WTFPL: OK (sha256: 2c1bea2f338d46ee6f2712f2c2bf05b874327ca0bec5eb0b7b4fbe208a23677f) >>> libev 4.33 Collecting legal info LICENSE: OK (sha256: c4dd1a5bc38b041a320b93c5d6c08f5c41a63f2ce718ee16cfcb757fad448199) >>> libiconv 1.15 Collecting legal info COPYING: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) COPYING.LIB: OK (sha256: 56bdea73b6145ef6ac5259b3da390b981d840c24cb03b8e1cbc678de7ecfa18d) >>> execline 2.9.0.1 Collecting legal info COPYING: OK (sha256: 35126cb8f2ff2a6e359f7bfec46c49004ead6304d8248ba67dcdebcc7d377754) >>> skalibs 2.12.0.1 Collecting legal info COPYING: OK (sha256: 35126cb8f2ff2a6e359f7bfec46c49004ead6304d8248ba67dcdebcc7d377754) >>> fbgrab 1.5 Collecting legal info COPYING: OK (sha256: fa5fc1d1eec39532ea517518eeefd7b6e3c14341a55e5880a0e2a49eee47a5b7) >>> libpng 1.6.39 Collecting legal info LICENSE: OK (sha256: dfe5a536b0e5a531f844fb9c101a3089aca60772a503893b8e15f9457e369960) >>> zlib Collecting legal info >>> libzlib 1.2.13 Collecting legal info LICENSE: OK (sha256: 845efc77857d485d91fb3e0b884aaa929368c717ae8186b66fe1ed2495753243) >>> flex 2.6.4 Collecting legal info COPYING: OK (sha256: 97fd685958d93be7f8dab939bb8161dbd6afb0718c63bfc337c24321aea44273) >>> font-awesome 4.7.0 Collecting legal info >>> geoip 1.6.12 Collecting legal info COPYING: OK (sha256: 36b6d3fa47916943fd5fec313c584784946047ec1337a78b440e5992cb595f89) >>> host-imagemagick 7.1.0-51 Collecting legal info LICENSE: OK (sha256: 8cceeb67d4e783cb63075c7311fdb990fa0369ee80fbd0f481064cd02386ca2d) >>> host-libjpeg 9e Collecting legal info README: OK (sha256: 50c1c5978d490c7f13062d91c4b89affc83774f87bc4568a714f748b62a5b216) >>> host-libpng 1.6.39 Collecting legal info LICENSE: OK (sha256: dfe5a536b0e5a531f844fb9c101a3089aca60772a503893b8e15f9457e369960) >>> initscripts Collecting legal info >>> jimtcl 0.81 Collecting legal info LICENSE: OK (sha256: 419f1146334e57fb258d6c957d1f94d43455ec0d24b1b54c4447e5f61a353950) >>> libcue 2.2.1 Collecting legal info LICENSE: OK (sha256: c388d36583fa54e13b6d73ad924d0b68d073ed8a5771e17cb49104705df4504f) >>> host-bison 3.8.2 Collecting legal info COPYING: OK (sha256: 3972dc9744f6499f0f9b2dbf76696f2ae7ad8af9b23dde66d6af86c9dfb36986) >>> host-flex 2.6.4 Collecting legal info COPYING: OK (sha256: 97fd685958d93be7f8dab939bb8161dbd6afb0718c63bfc337c24321aea44273) >>> libdeflate 1.15 Collecting legal info COPYING: OK (sha256: 5d246dd2537307b80fed9ba5fdddf5d0fe7a8f023393a071182b9daed56b40fe) >>> libdvdcss 1.4.3 Collecting legal info COPYING: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) >>> libdvdread 6.1.3 Collecting legal info COPYING: OK (sha256: d6cb0e9e560f51085556949a84af12b79a00f10ab8b66c752537faf7cd665572) >>> libevent 2.1.12 Collecting legal info LICENSE: OK (sha256: ff02effc9b331edcdac387d198691bfa3e575e7d244ad10cb826aa51ef085670) >>> openssl Collecting legal info >>> libopenssl 1.1.1u Collecting legal info LICENSE: OK (sha256: c32913b33252e71190af2066f08115c69bc9fddadf3bf29296e20c835389841c) >>> libid3tag 0.15.1b Collecting legal info COPYING: OK (sha256: 32b1062f7da84967e7019d01ab805935caa7ab7321a7ced0e30ebe75e5df1670) COPYRIGHT: OK (sha256: 7f12ad28dc075763e91b91bfa60fad04062380011ddad8f6bac21dd7b1f44367) >>> libmhash 0.9.9.9 Collecting legal info COPYING: OK (sha256: d245807f90032872d1438d741ed21e2490e1175dc8aa3afa5ddb6c8e529b58e5) >>> libpfm4 4.12.0 Collecting legal info COPYING: OK (sha256: beef323d68fc5db9c67b20e8e9de7ccde371d20cdbcdd686804055b18b926d90) >>> libserialport 0.1.1 Collecting legal info COPYING: OK (sha256: da7eabb7bafdf7d3ae5e9f223aa5bdc1eece45ac569dc21b3b037520b4464768) >>> libtool 2.4.6 Collecting legal info libltdl/COPYING.LIB: OK (sha256: dc626520dcd53a22f727af3ee42c770e56c97a64fe3adb063799d8ab032fe551) >>> libunibreak 5.1 Collecting legal info LICENCE: OK (sha256: 0c57e2ec42ece17791a75d2f9b2f8e0663181be008667a507f765b3ef22522db) >>> links 2.26 Collecting legal info COPYING: OK (sha256: 92deeb70791366630095d4e0714fe37d6e2bd5db0e6ef498ebb8de328f45b253) >>> lz4 1.9.4 Collecting legal info lib/LICENSE: OK (sha256: 8b58c446121a109ccf32edc094bba3010a3d85e4ee3702950db55e4d3e87736c) programs/COPYING: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) >>> memcached 1.6.17 Collecting legal info COPYING: OK (sha256: bc887c4ad8051fe690ace9528fe37a2e0bb362e6d963331d82e845ca9b585a0c) >>> noip 2.1.9 Collecting legal info COPYING: OK (sha256: 5aaf7e9e1790c6e372b6c5dec8c6c4b60504414a8c56f64c3071d281d3c251fa) >>> openocd 0.12.0 Collecting legal info COPYING: OK (sha256: 1b8f7e37ee5afbbf95c2a4d62b12b25232e29538692663b434318503a9a88419) LICENSES/license-rules.txt: OK (sha256: 0a37155eb8bc0cb94477a0cae4f030e39da9317374e8a9f597e9229fd09aff78) LICENSES/exceptions/eCos-exception-2.0: OK (sha256: 034da732b4f3079b8783fff756613c1cff89dec5ac80c5cdd90532b7539922a1) LICENSES/preferred/BSD-1-Clause: OK (sha256: f3ba76203a7b6993db31f987559cc4c621ae1ff78119ced2a669756c2c5fd3b1) LICENSES/preferred/BSD-2-Clause: OK (sha256: 6313108c23efffa36948f8b2cff1560a5935373b527b0e1a837cc77e6ed1bacd) LICENSES/preferred/BSD-2-Clause-Views: OK (sha256: 1483f15e9fddc73b5df0acab1c42d21ecd0bf6ade8a79179fb90c09f13d03dcd) LICENSES/preferred/BSD-3-Clause: OK (sha256: 7a83b1aeb460458db9a4bd521d7f237c45b1650f6e60ea5ffc71e49472454de3) LICENSES/preferred/BSD-Source-Code: OK (sha256: 5cf024f6e3611d5c6fb98748d1a0ad99b8cf9ffe46f2d60fa10c299cd29e0a75) LICENSES/preferred/GFDL-1.2: OK (sha256: f35396f4095619f986736ca5e2976b313d381463a35c921c9ae677cc7eb83e28) LICENSES/preferred/gfdl-1.2.texi.readme: OK (sha256: 76515be2d7334a1a038f775238c9a4c2b37e19514248e5eea0434cdfee526f9d) LICENSES/preferred/GPL-2.0: OK (sha256: 7d35bc44da7bb763022e3c2c32cfc0125dd222060bc50992420599d8e65f6c0a) LICENSES/preferred/MIT: OK (sha256: 323c587d0ccf10e376f8bf9a7f31fb4ca6078105194b42e0b1e0ee2bc9bde71f) LICENSES/stand-alone/GPL-3.0: OK (sha256: 9a41b56594cd89743ca72f188318c9871661847074dd16497ae0c695fe3ab9c9) >>> host-patchelf 0.13 Collecting legal info COPYING: OK (sha256: 8ceb4b9ee5adedde47b31e975c1d90c73ad27b6b165a1dcd80c7c545eb65b903) >>> pcre 8.45 Collecting legal info LICENCE: OK (sha256: 51b3dea44f63338b84b9c97b3d793826a8397309068cf9379a423216ab8ea5b2) >>> ptpd 1.1.0 Collecting legal info COPYRIGHT: OK (sha256: be69f30947abef91e0bce161005fe56b116b770e459e3e6980279349de47c366) >>> pwgen 2.08 Collecting legal info debian/copyright: OK (sha256: 5d3e49e01ef782be5841d5d1f7fb64d290cd86459598a913818584a46a2f81a1) >>> host-python-greenlet 2.0.2 Collecting legal info LICENSE: OK (sha256: 769831d6e5dfaf2c20802faccff1fafb4c2025dd8f6253dfa47fcad59d4d0979) LICENSE.PSF: OK (sha256: e5ff3c23c110e494cd7d736c10fd96d462457bafeca310840db6527298c7d46b) >>> rng-tools 6.16 Collecting legal info COPYING: OK (sha256: 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643) >>> sp-oops-extract 0.0.7-1 Collecting legal info COPYING: OK (sha256: c38aee9e3c8c4d5d594ff548a1be05453023016d6286931f6512db215ec1fd42) >>> webp 1.2.4 Collecting legal info COPYING: OK (sha256: 5aec868f669e384a22372a4e8a1a6cd7d44c64cd451f960ca69cc170d1e13acf) >>> wireless-regdb 2023.02.13 Collecting legal info LICENSE: OK (sha256: 678b0df753c86198fc496d1f1033429bbd57f101472132ee7eaaf9f5e0a7fae1) >>> host-acl 2.3.1 Collecting legal info doc/COPYING: OK (sha256: a45a845012742796534f7e91fe623262ccfb99460a2bd04015bd28d66fba95b8) doc/COPYING.LGPL: OK (sha256: 01b1f9f2c8ee648a7a596a1abe8aa4ed7899b1c9e5551bda06da6e422b04aa55) >>> host-attr 2.5.1 Collecting legal info doc/COPYING: OK (sha256: 98f318493be6b08bff3cd295791cde06c54e17882a3c74a1ed245eaa02533d52) doc/COPYING.LGPL: OK (sha256: 5decad7e58d90d44335bf2f45ce27563bd911065c6a1a02dfa7647c4efee75c8) >>> host-cdrkit 1.1.11 Collecting legal info COPYING: OK (sha256: 90daae00475a992a367da5b0658469a5d1c4449dbbe964c5b7246e1aec92f491) >>> host-libcap 2.65 Collecting legal info License: OK (sha256: f58c80bcce8c929db39a23c32e924876e3311f3ffa54f66076c38056d38fa59b) >>> host-cloop 3.14.1.3 Collecting legal info README: OK (sha256: 1b1f89e94e131e259b9e334565c5c3500d4b8aa755b75c3f145ddcdff3b89124) advancecomp-1.15/COPYING: OK (sha256: 91df39d1816bfb17a4dda2d3d2c83b1f6f2d38d53e53e41e8f97ad5ac46a0cad) >>> host-fakeroot 1.30.1 Collecting legal info COPYING: OK (sha256: fc82ca8b6fdb18d4e3e85cfd8ab58d1bcd3f1b29abe782895abd91d64763f8e7) >>> host-makedevs Collecting legal info >>> host-mkpasswd Collecting legal info WARNING: the Buildroot source code has not been saved WARNING: gcc-final-11.4.0: cannot save license (HOST_GCC_FINAL_LICENSE_FILES not defined) WARNING: gcc-initial-11.4.0: cannot save license (HOST_GCC_INITIAL_LICENSE_FILES not defined) WARNING: linux-headers-4.14.316: cannot save license (LINUX_HEADERS_LICENSE_FILES not defined) WARNING: armbian-firmware-5d685ad233b4dfd03a4d025fa0061f6b0f850cb3: cannot save license (ARMBIAN_FIRMWARE_LICENSE_FILES not defined) WARNING: dhrystone-2: cannot save license (DHRYSTONE_LICENSE_FILES not defined) WARNING: font-awesome-4.7.0: cannot save license (FONT_AWESOME_LICENSE_FILES not defined) Legal info produced in /home/buildroot/autobuild/instance-0/output-1/legal-info make: Leaving directory '/home/buildroot/autobuild/instance-0/buildroot'