>>> qemu 3.1.1 Downloading --2019-09-15 08:42:05-- http://download.qemu.org/qemu-3.1.1.tar.xz Resolving download.qemu.org (download.qemu.org)... 172.99.69.163 Connecting to download.qemu.org (download.qemu.org)|172.99.69.163|:80... connected. HTTP request sent, awaiting response... 302 Found Location: https://download.qemu.org/qemu-3.1.1.tar.xz [following] --2019-09-15 08:42:06-- https://download.qemu.org/qemu-3.1.1.tar.xz Connecting to download.qemu.org (download.qemu.org)|172.99.69.163|:443... connected. HTTP request sent, awaiting response... 200 OK Length: 36072500 (34M) [application/x-xz] Saving to: `/home/test/autobuild/run/instance-1/output-1/build/.qemu-3.1.1.tar.xz.AC6VnX/output' 0K .......... .......... .......... .......... .......... 0% 311K 1m53s 50K .......... .......... .......... .......... .......... 0% 668K 83s 100K .......... .......... .......... .......... .......... 0% 14.5M 56s 150K .......... .......... .......... .......... .......... 0% 46.3M 42s 200K .......... .......... .......... .......... .......... 0% 672K 44s 250K .......... .......... .......... .......... .......... 0% 18.9M 37s 300K .......... .......... .......... .......... .......... 0% 48.7M 32s 350K .......... .......... .......... .......... .......... 1% 50.7M 28s 400K .......... .......... .......... .......... .......... 1% 681K 30s 450K .......... .......... .......... .......... .......... 1% 48.9M 27s 500K .......... .......... .......... .......... .......... 1% 49.2M 25s 550K .......... .......... .......... .......... .......... 1% 46.8M 23s 600K .......... .......... .......... .......... .......... 1% 48.9M 21s 650K .......... .......... .......... .......... .......... 1% 38.5M 20s 700K .......... .......... .......... .......... .......... 2% 71.7M 18s 750K .......... .......... .......... .......... .......... 2% 56.7M 17s 800K .......... .......... .......... .......... .......... 2% 9.20M 16s 850K .......... .......... .......... .......... .......... 2% 753K 18s 900K .......... .......... .......... .......... .......... 2% 51.0M 17s 950K .......... .......... .......... .......... .......... 2% 50.2M 16s 1000K .......... .......... .......... .......... .......... 2% 49.2M 15s 1050K .......... .......... .......... .......... .......... 3% 51.0M 15s 1100K .......... .......... .......... .......... .......... 3% 37.7M 14s 1150K .......... .......... .......... .......... .......... 3% 49.1M 14s 1200K .......... .......... .......... .......... .......... 3% 51.8M 13s 1250K .......... .......... .......... .......... .......... 3% 5.80M 13s 1300K .......... .......... .......... .......... .......... 3% 37.9M 12s 1350K .......... .......... .......... .......... .......... 3% 48.5M 12s 1400K .......... .......... .......... .......... .......... 4% 48.0M 11s 1450K .......... .......... .......... .......... .......... 4% 49.9M 11s 1500K .......... .......... .......... .......... .......... 4% 49.1M 11s 1550K .......... .......... .......... .......... .......... 4% 8.32M 10s 1600K .......... .......... .......... .......... .......... 4% 48.2M 10s 1650K .......... .......... .......... .......... .......... 4% 48.0M 10s 1700K .......... .......... .......... .......... .......... 4% 49.7M 10s 1750K .......... .......... .......... .......... .......... 5% 1.00M 10s 1800K .......... .......... .......... .......... .......... 5% 61.1M 10s 1850K .......... .......... .......... .......... .......... 5% 74.4M 10s 1900K .......... .......... .......... .......... .......... 5% 53.9M 9s 1950K .......... .......... .......... .......... .......... 5% 38.2M 9s 2000K .......... .......... .......... .......... .......... 5% 50.4M 9s 2050K .......... .......... .......... .......... .......... 5% 50.3M 9s 2100K .......... .......... .......... .......... .......... 6% 50.0M 9s 2150K .......... .......... .......... .......... .......... 6% 49.6M 8s 2200K .......... .......... .......... .......... .......... 6% 3.98M 8s 2250K .......... .......... .......... .......... .......... 6% 50.0M 8s 2300K .......... .......... .......... .......... .......... 6% 49.3M 8s 2350K .......... .......... .......... .......... .......... 6% 51.4M 8s 2400K .......... .......... .......... .......... .......... 6% 37.6M 8s 2450K .......... .......... .......... .......... .......... 7% 559K 9s 2500K .......... .......... .......... .......... .......... 7% 43.7M 9s 2550K .......... .......... .......... .......... .......... 7% 47.3M 8s 2600K .......... .......... .......... .......... .......... 7% 50.6M 8s 2650K .......... .......... .......... .......... .......... 7% 38.7M 8s 2700K .......... .......... .......... .......... .......... 7% 47.5M 8s 2750K .......... .......... .......... .......... .......... 7% 45.8M 8s 2800K .......... .......... .......... .......... .......... 8% 50.6M 8s 2850K .......... .......... .......... .......... .......... 8% 38.1M 7s 2900K .......... .......... .......... .......... .......... 8% 50.1M 7s 2950K .......... .......... .......... .......... .......... 8% 49.1M 7s 3000K .......... .......... .......... .......... .......... 8% 50.0M 7s 3050K .......... .......... .......... .......... .......... 8% 49.8M 7s 3100K .......... .......... .......... .......... .......... 8% 37.9M 7s 3150K .......... .......... .......... .......... .......... 9% 49.9M 7s 3200K .......... .......... .......... .......... .......... 9% 49.3M 7s 3250K .......... .......... .......... .......... .......... 9% 50.6M 7s 3300K .......... .......... .......... .......... .......... 9% 38.1M 6s 3350K .......... .......... .......... .......... .......... 9% 50.7M 6s 3400K .......... .......... .......... .......... .......... 9% 49.0M 6s 3450K .......... .......... .......... .......... .......... 9% 50.6M 6s 3500K .......... .......... .......... .......... .......... 10% 38.1M 6s 3550K .......... .......... .......... .......... .......... 10% 49.5M 6s 3600K .......... .......... .......... .......... .......... 10% 1009K 6s 3650K .......... .......... .......... .......... .......... 10% 59.1M 6s 3700K .......... .......... .......... .......... .......... 10% 54.6M 6s 3750K .......... .......... .......... .......... .......... 10% 40.2M 6s 3800K .......... .......... .......... .......... .......... 10% 70.9M 6s 3850K .......... .......... .......... .......... .......... 11% 49.7M 6s 3900K .......... .......... .......... .......... .......... 11% 7.77M 6s 3950K .......... .......... .......... .......... .......... 11% 37.5M 6s 4000K .......... .......... .......... .......... .......... 11% 48.9M 6s 4050K .......... .......... .......... .......... .......... 11% 44.7M 6s 4100K .......... .......... .......... .......... .......... 11% 49.5M 6s 4150K .......... .......... .......... .......... .......... 11% 48.0M 6s 4200K .......... .......... .......... .......... .......... 12% 49.3M 5s 4250K .......... .......... .......... .......... .......... 12% 37.8M 5s 4300K .......... .......... .......... .......... .......... 12% 49.1M 5s 4350K .......... .......... .......... .......... .......... 12% 47.8M 5s 4400K .......... .......... .......... .......... .......... 12% 49.9M 5s 4450K .......... .......... .......... .......... .......... 12% 37.4M 5s 4500K .......... .......... .......... .......... .......... 12% 49.7M 5s 4550K .......... .......... .......... .......... .......... 13% 48.5M 5s 4600K .......... .......... .......... .......... .......... 13% 48.3M 5s 4650K .......... .......... .......... .......... .......... 13% 49.1M 5s 4700K .......... .......... .......... .......... .......... 13% 38.0M 5s 4750K .......... .......... .......... .......... .......... 13% 47.9M 5s 4800K .......... .......... .......... .......... .......... 13% 48.3M 5s 4850K .......... .......... .......... .......... .......... 13% 49.8M 5s 4900K .......... .......... .......... .......... .......... 14% 37.3M 5s 4950K .......... .......... .......... .......... .......... 14% 49.9M 5s 5000K .......... .......... .......... .......... .......... 14% 47.7M 5s 5050K .......... .......... .......... .......... .......... 14% 49.1M 5s 5100K .......... .......... .......... .......... .......... 14% 47.0M 5s 5150K .......... .......... .......... .......... .......... 14% 37.4M 4s 5200K .......... .......... .......... .......... .......... 14% 49.0M 4s 5250K .......... .......... .......... .......... .......... 15% 47.6M 4s 5300K .......... .......... .......... .......... .......... 15% 5.99M 4s 5350K .......... .......... .......... .......... .......... 15% 37.1M 4s 5400K .......... .......... .......... .......... .......... 15% 49.3M 4s 5450K .......... .......... .......... .......... .......... 15% 47.6M 4s 5500K .......... .......... .......... .......... .......... 15% 48.9M 4s 5550K .......... .......... .......... .......... .......... 15% 38.1M 4s 5600K .......... .......... .......... .......... .......... 16% 48.9M 4s 5650K .......... .......... .......... .......... .......... 16% 49.1M 4s 5700K .......... .......... .......... .......... .......... 16% 47.7M 4s 5750K .......... .......... .......... .......... .......... 16% 50.4M 4s 5800K .......... .......... .......... .......... .......... 16% 16.2M 4s 5850K .......... .......... .......... .......... .......... 16% 7.49M 4s 5900K .......... .......... .......... .......... .......... 16% 47.3M 4s 5950K .......... .......... .......... .......... .......... 17% 48.7M 4s 6000K .......... .......... .......... .......... .......... 17% 38.2M 4s 6050K .......... .......... .......... .......... .......... 17% 48.9M 4s 6100K .......... .......... .......... .......... .......... 17% 7.86M 4s 6150K .......... .......... .......... .......... .......... 17% 46.5M 4s 6200K .......... .......... .......... .......... .......... 17% 12.0M 4s 6250K .......... .......... .......... .......... .......... 17% 36.7M 4s 6300K .......... .......... .......... .......... .......... 18% 49.3M 4s 6350K .......... .......... .......... .......... .......... 18% 10.0M 4s 6400K .......... .......... .......... .......... .......... 18% 49.8M 4s 6450K .......... .......... .......... .......... .......... 18% 39.3M 4s 6500K .......... .......... .......... .......... .......... 18% 1.44M 4s 6550K .......... .......... .......... .......... .......... 18% 43.3M 4s 6600K .......... .......... .......... .......... .......... 18% 48.9M 4s 6650K .......... .......... .......... .......... .......... 19% 45.2M 4s 6700K .......... .......... .......... .......... .......... 19% 37.9M 4s 6750K .......... .......... .......... .......... .......... 19% 49.8M 4s 6800K .......... .......... .......... .......... .......... 19% 48.5M 4s 6850K .......... .......... .......... .......... .......... 19% 48.8M 4s 6900K .......... .......... .......... .......... .......... 19% 38.0M 4s 6950K .......... .......... .......... .......... .......... 19% 49.7M 4s 7000K .......... .......... .......... .......... .......... 20% 20.6M 3s 7050K .......... .......... .......... .......... .......... 20% 6.90M 3s 7100K .......... .......... .......... .......... .......... 20% 37.9M 3s 7150K .......... .......... .......... .......... .......... 20% 49.5M 3s 7200K .......... .......... .......... .......... .......... 20% 49.3M 3s 7250K .......... .......... .......... .......... .......... 20% 49.2M 3s 7300K .......... .......... .......... .......... .......... 20% 50.8M 3s 7350K .......... .......... .......... .......... .......... 21% 7.50M 3s 7400K .......... .......... .......... .......... .......... 21% 12.3M 3s 7450K .......... .......... .......... .......... .......... 21% 41.3M 3s 7500K .......... .......... .......... .......... .......... 21% 50.3M 3s 7550K .......... .......... .......... .......... .......... 21% 8.52M 3s 7600K .......... .......... .......... .......... .......... 21% 49.8M 3s 7650K .......... .......... .......... .......... .......... 21% 50.5M 3s 7700K .......... .......... .......... .......... .......... 22% 50.1M 3s 7750K .......... .......... .......... .......... .......... 22% 1.45M 3s 7800K .......... .......... .......... .......... .......... 22% 32.9M 3s 7850K .......... .......... .......... .......... .......... 22% 45.6M 3s 7900K .......... .......... .......... .......... .......... 22% 41.8M 3s 7950K .......... .......... .......... .......... .......... 22% 49.4M 3s 8000K .......... .......... .......... .......... .......... 22% 34.8M 3s 8050K .......... .......... .......... .......... .......... 22% 16.6M 3s 8100K .......... .......... .......... .......... .......... 23% 50.3M 3s 8150K .......... .......... .......... .......... .......... 23% 43.2M 3s 8200K .......... .......... .......... .......... .......... 23% 49.0M 3s 8250K .......... .......... .......... .......... .......... 23% 48.9M 3s 8300K .......... .......... .......... .......... .......... 23% 8.69M 3s 8350K .......... .......... .......... .......... .......... 23% 46.3M 3s 8400K .......... .......... .......... .......... .......... 23% 50.6M 3s 8450K .......... .......... .......... .......... .......... 24% 6.14M 3s 8500K .......... .......... .......... .......... .......... 24% 35.5M 3s 8550K .......... .......... .......... .......... .......... 24% 50.3M 3s 8600K .......... .......... .......... .......... .......... 24% 18.1M 3s 8650K .......... .......... .......... .......... .......... 24% 46.6M 3s 8700K .......... .......... .......... .......... .......... 24% 48.7M 3s 8750K .......... .......... .......... .......... .......... 24% 4.05M 3s 8800K .......... .......... .......... .......... .......... 25% 50.2M 3s 8850K .......... .......... .......... .......... .......... 25% 49.6M 3s 8900K .......... .......... .......... .......... .......... 25% 50.3M 3s 8950K .......... .......... .......... .......... .......... 25% 1.70M 3s 9000K .......... .......... .......... .......... .......... 25% 45.1M 3s 9050K .......... .......... .......... .......... .......... 25% 48.8M 3s 9100K .......... .......... .......... .......... .......... 25% 50.5M 3s 9150K .......... .......... .......... .......... .......... 26% 36.3M 3s 9200K .......... .......... .......... .......... .......... 26% 47.5M 3s 9250K .......... .......... .......... .......... .......... 26% 50.5M 3s 9300K .......... .......... .......... .......... .......... 26% 47.2M 3s 9350K .......... .......... .......... .......... .......... 26% 47.1M 3s 9400K .......... .......... .......... .......... .......... 26% 37.1M 3s 9450K .......... .......... .......... .......... .......... 26% 48.6M 3s 9500K .......... .......... .......... .......... .......... 27% 7.64M 3s 9550K .......... .......... .......... .......... .......... 27% 61.3M 3s 9600K .......... .......... .......... .......... .......... 27% 41.5M 3s 9650K .......... .......... .......... .......... .......... 27% 63.6M 3s 9700K .......... .......... .......... .......... .......... 27% 5.57M 3s 9750K .......... .......... .......... .......... .......... 27% 49.1M 3s 9800K .......... .......... .......... .......... .......... 27% 50.3M 3s 9850K .......... .......... .......... .......... .......... 28% 22.8M 3s 9900K .......... .......... .......... .......... .......... 28% 41.6M 3s 9950K .......... .......... .......... .......... .......... 28% 49.2M 3s 10000K .......... .......... .......... .......... .......... 28% 4.38M 3s 10050K .......... .......... .......... .......... .......... 28% 37.4M 3s 10100K .......... .......... .......... .......... .......... 28% 49.3M 3s 10150K .......... .......... .......... .......... .......... 28% 49.2M 3s 10200K .......... .......... .......... .......... .......... 29% 1.69M 3s 10250K .......... .......... .......... .......... .......... 29% 47.2M 3s 10300K .......... .......... .......... .......... .......... 29% 38.0M 3s 10350K .......... .......... .......... .......... .......... 29% 50.0M 3s 10400K .......... .......... .......... .......... .......... 29% 49.7M 3s 10450K .......... .......... .......... .......... .......... 29% 49.6M 3s 10500K .......... .......... .......... .......... .......... 29% 39.0M 3s 10550K .......... .......... .......... .......... .......... 30% 50.6M 3s 10600K .......... .......... .......... .......... .......... 30% 58.4M 3s 10650K .......... .......... .......... .......... .......... 30% 59.9M 3s 10700K .......... .......... .......... .......... .......... 30% 17.3M 3s 10750K .......... .......... .......... .......... .......... 30% 8.17M 3s 10800K .......... .......... .......... .......... .......... 30% 46.0M 3s 10850K .......... .......... .......... .......... .......... 30% 49.0M 2s 10900K .......... .......... .......... .......... .......... 31% 50.0M 2s 10950K .......... .......... .......... .......... .......... 31% 5.24M 2s 11000K .......... .......... .......... .......... .......... 31% 50.0M 2s 11050K .......... .......... .......... .......... .......... 31% 49.1M 2s 11100K .......... .......... .......... .......... .......... 31% 50.6M 2s 11150K .......... .......... .......... .......... .......... 31% 38.2M 2s 11200K .......... .......... .......... .......... .......... 31% 9.18M 2s 11250K .......... .......... .......... .......... .......... 32% 7.36M 2s 11300K .......... .......... .......... .......... .......... 32% 45.1M 2s 11350K .......... .......... .......... .......... .......... 32% 50.0M 2s 11400K .......... .......... .......... .......... .......... 32% 38.1M 2s 11450K .......... .......... .......... .......... .......... 32% 1.71M 2s 11500K .......... .......... .......... .......... .......... 32% 47.3M 2s 11550K .......... .......... .......... .......... .......... 32% 49.5M 2s 11600K .......... .......... .......... .......... .......... 33% 37.4M 2s 11650K .......... .......... .......... .......... .......... 33% 50.4M 2s 11700K .......... .......... .......... .......... .......... 33% 47.9M 2s 11750K .......... .......... .......... .......... .......... 33% 50.3M 2s 11800K .......... .......... .......... .......... .......... 33% 43.9M 2s 11850K .......... .......... .......... .......... .......... 33% 37.9M 2s 11900K .......... .......... .......... .......... .......... 33% 49.6M 2s 11950K .......... .......... .......... .......... .......... 34% 21.7M 2s 12000K .......... .......... .......... .......... .......... 34% 8.29M 2s 12050K .......... .......... .......... .......... .......... 34% 37.6M 2s 12100K .......... .......... .......... .......... .......... 34% 50.0M 2s 12150K .......... .......... .......... .......... .......... 34% 6.50M 2s 12200K .......... .......... .......... .......... .......... 34% 24.3M 2s 12250K .......... .......... .......... .......... .......... 34% 45.0M 2s 12300K .......... .......... .......... .......... .......... 35% 50.2M 2s 12350K .......... .......... .......... .......... .......... 35% 27.2M 2s 12400K .......... .......... .......... .......... .......... 35% 49.6M 2s 12450K .......... .......... .......... .......... .......... 35% 8.61M 2s 12500K .......... .......... .......... .......... .......... 35% 7.14M 2s 12550K .......... .......... .......... .......... .......... 35% 45.8M 2s 12600K .......... .......... .......... .......... .......... 35% 79.3M 2s 12650K .......... .......... .......... .......... .......... 36% 1.61M 2s 12700K .......... .......... .......... .......... .......... 36% 50.6M 2s 12750K .......... .......... .......... .......... .......... 36% 38.2M 2s 12800K .......... .......... .......... .......... .......... 36% 51.0M 2s 12850K .......... .......... .......... .......... .......... 36% 50.1M 2s 12900K .......... .......... .......... .......... .......... 36% 49.0M 2s 12950K .......... .......... .......... .......... .......... 36% 50.5M 2s 13000K .......... .......... .......... .......... .......... 37% 39.1M 2s 13050K .......... .......... .......... .......... .......... 37% 50.2M 2s 13100K .......... .......... .......... .......... .......... 37% 48.8M 2s 13150K .......... .......... .......... .......... .......... 37% 51.4M 2s 13200K .......... .......... .......... .......... .......... 37% 38.3M 2s 13250K .......... .......... .......... .......... .......... 37% 8.04M 2s 13300K .......... .......... .......... .......... .......... 37% 58.0M 2s 13350K .......... .......... .......... .......... .......... 38% 51.3M 2s 13400K .......... .......... .......... .......... .......... 38% 50.1M 2s 13450K .......... .......... .......... .......... .......... 38% 5.57M 2s 13500K .......... .......... .......... .......... .......... 38% 49.6M 2s 13550K .......... .......... .......... .......... .......... 38% 23.9M 2s 13600K .......... .......... .......... .......... .......... 38% 49.8M 2s 13650K .......... .......... .......... .......... .......... 38% 38.4M 2s 13700K .......... .......... .......... .......... .......... 39% 4.34M 2s 13750K .......... .......... .......... .......... .......... 39% 47.7M 2s 13800K .......... .......... .......... .......... .......... 39% 49.2M 2s 13850K .......... .......... .......... .......... .......... 39% 49.9M 2s 13900K .......... .......... .......... .......... .......... 39% 1.75M 2s 13950K .......... .......... .......... .......... .......... 39% 12.1M 2s 14000K .......... .......... .......... .......... .......... 39% 46.5M 2s 14050K .......... .......... .......... .......... .......... 40% 46.3M 2s 14100K .......... .......... .......... .......... .......... 40% 37.5M 2s 14150K .......... .......... .......... .......... .......... 40% 46.3M 2s 14200K .......... .......... .......... .......... .......... 40% 45.7M 2s 14250K .......... .......... .......... .......... .......... 40% 47.4M 2s 14300K .......... .......... .......... .......... .......... 40% 36.2M 2s 14350K .......... .......... .......... .......... .......... 40% 47.6M 2s 14400K .......... .......... .......... .......... .......... 41% 50.1M 2s 14450K .......... .......... .......... .......... .......... 41% 48.9M 2s 14500K .......... .......... .......... .......... .......... 41% 11.0M 2s 14550K .......... .......... .......... .......... .......... 41% 36.7M 2s 14600K .......... .......... .......... .......... .......... 41% 49.3M 2s 14650K .......... .......... .......... .......... .......... 41% 7.37M 2s 14700K .......... .......... .......... .......... .......... 41% 19.1M 2s 14750K .......... .......... .......... .......... .......... 42% 38.7M 2s 14800K .......... .......... .......... .......... .......... 42% 26.9M 2s 14850K .......... .......... .......... .......... .......... 42% 60.8M 2s 14900K .......... .......... .......... .......... .......... 42% 80.3M 2s 14950K .......... .......... .......... .......... .......... 42% 7.92M 2s 15000K .......... .......... .......... .......... .......... 42% 4.69M 2s 15050K .......... .......... .......... .......... .......... 42% 48.7M 2s 15100K .......... .......... .......... .......... .......... 43% 50.1M 2s 15150K .......... .......... .......... .......... .......... 43% 50.5M 2s 15200K .......... .......... .......... .......... .......... 43% 1.92M 2s 15250K .......... .......... .......... .......... .......... 43% 24.9M 2s 15300K .......... .......... .......... .......... .......... 43% 48.3M 2s 15350K .......... .......... .......... .......... .......... 43% 49.7M 2s 15400K .......... .......... .......... .......... .......... 43% 50.7M 2s 15450K .......... .......... .......... .......... .......... 44% 38.4M 2s 15500K .......... .......... .......... .......... .......... 44% 49.7M 2s 15550K .......... .......... .......... .......... .......... 44% 49.6M 2s 15600K .......... .......... .......... .......... .......... 44% 50.1M 2s 15650K .......... .......... .......... .......... .......... 44% 38.8M 2s 15700K .......... .......... .......... .......... .......... 44% 49.6M 2s 15750K .......... .......... .......... .......... .......... 44% 9.59M 2s 15800K .......... .......... .......... .......... .......... 44% 24.6M 2s 15850K .......... .......... .......... .......... .......... 45% 51.4M 2s 15900K .......... .......... .......... .......... .......... 45% 48.3M 2s 15950K .......... .......... .......... .......... .......... 45% 5.76M 2s 16000K .......... .......... .......... .......... .......... 45% 45.8M 2s 16050K .......... .......... .......... .......... .......... 45% 47.5M 2s 16100K .......... .......... .......... .......... .......... 45% 24.2M 2s 16150K .......... .......... .......... .......... .......... 45% 48.6M 2s 16200K .......... .......... .......... .......... .......... 46% 5.40M 2s 16250K .......... .......... .......... .......... .......... 46% 14.9M 2s 16300K .......... .......... .......... .......... .......... 46% 13.4M 2s 16350K .......... .......... .......... .......... .......... 46% 56.0M 2s 16400K .......... .......... .......... .......... .......... 46% 54.2M 2s 16450K .......... .......... .......... .......... .......... 46% 1.87M 2s 16500K .......... .......... .......... .......... .......... 46% 22.4M 2s 16550K .......... .......... .......... .......... .......... 47% 50.1M 2s 16600K .......... .......... .......... .......... .......... 47% 38.5M 2s 16650K .......... .......... .......... .......... .......... 47% 47.1M 2s 16700K .......... .......... .......... .......... .......... 47% 50.2M 2s 16750K .......... .......... .......... .......... .......... 47% 50.0M 2s 16800K .......... .......... .......... .......... .......... 47% 38.4M 2s 16850K .......... .......... .......... .......... .......... 47% 49.1M 2s 16900K .......... .......... .......... .......... .......... 48% 50.7M 2s 16950K .......... .......... .......... .......... .......... 48% 53.6M 2s 17000K .......... .......... .......... .......... .......... 48% 8.57M 2s 17050K .......... .......... .......... .......... .......... 48% 28.1M 2s 17100K .......... .......... .......... .......... .......... 48% 55.7M 2s 17150K .......... .......... .......... .......... .......... 48% 57.3M 2s 17200K .......... .......... .......... .......... .......... 48% 7.52M 2s 17250K .......... .......... .......... .......... .......... 49% 17.5M 2s 17300K .......... .......... .......... .......... .......... 49% 52.8M 2s 17350K .......... .......... .......... .......... .......... 49% 31.0M 2s 17400K .......... .......... .......... .......... .......... 49% 35.3M 2s 17450K .......... .......... .......... .......... .......... 49% 87.6M 2s 17500K .......... .......... .......... .......... .......... 49% 5.33M 2s 17550K .......... .......... .......... .......... .......... 49% 7.35M 2s 17600K .......... .......... .......... .......... .......... 50% 69.1M 2s 17650K .......... .......... .......... .......... .......... 50% 52.6M 2s 17700K .......... .......... .......... .......... .......... 50% 1.96M 2s 17750K .......... .......... .......... .......... .......... 50% 16.0M 2s 17800K .......... .......... .......... .......... .......... 50% 37.6M 2s 17850K .......... .......... .......... .......... .......... 50% 46.8M 2s 17900K .......... .......... .......... .......... .......... 50% 37.5M 2s 17950K .......... .......... .......... .......... .......... 51% 51.4M 2s 18000K .......... .......... .......... .......... .......... 51% 48.3M 2s 18050K .......... .......... .......... .......... .......... 51% 46.7M 1s 18100K .......... .......... .......... .......... .......... 51% 55.7M 1s 18150K .......... .......... .......... .......... .......... 51% 40.7M 1s 18200K .......... .......... .......... .......... .......... 51% 54.3M 1s 18250K .......... .......... .......... .......... .......... 51% 49.8M 1s 18300K .......... .......... .......... .......... .......... 52% 5.17M 1s 18350K .......... .......... .......... .......... .......... 52% 36.1M 1s 18400K .......... .......... .......... .......... .......... 52% 48.8M 1s 18450K .......... .......... .......... .......... .......... 52% 48.3M 1s 18500K .......... .......... .......... .......... .......... 52% 9.22M 1s 18550K .......... .......... .......... .......... .......... 52% 38.8M 1s 18600K .......... .......... .......... .......... .......... 52% 39.1M 1s 18650K .......... .......... .......... .......... .......... 53% 49.5M 1s 18700K .......... .......... .......... .......... .......... 53% 49.3M 1s 18750K .......... .......... .......... .......... .......... 53% 7.71M 1s 18800K .......... .......... .......... .......... .......... 53% 5.54M 1s 18850K .......... .......... .......... .......... .......... 53% 32.3M 1s 18900K .......... .......... .......... .......... .......... 53% 62.0M 1s 18950K .......... .......... .......... .......... .......... 53% 60.4M 1s 19000K .......... .......... .......... .......... .......... 54% 1.84M 1s 19050K .......... .......... .......... .......... .......... 54% 37.2M 1s 19100K .......... .......... .......... .......... .......... 54% 46.8M 1s 19150K .......... .......... .......... .......... .......... 54% 44.7M 1s 19200K .......... .......... .......... .......... .......... 54% 47.5M 1s 19250K .......... .......... .......... .......... .......... 54% 38.0M 1s 19300K .......... .......... .......... .......... .......... 54% 49.2M 1s 19350K .......... .......... .......... .......... .......... 55% 46.8M 1s 19400K .......... .......... .......... .......... .......... 55% 50.6M 1s 19450K .......... .......... .......... .......... .......... 55% 37.7M 1s 19500K .......... .......... .......... .......... .......... 55% 49.2M 1s 19550K .......... .......... .......... .......... .......... 55% 34.4M 1s 19600K .......... .......... .......... .......... .......... 55% 8.87M 1s 19650K .......... .......... .......... .......... .......... 55% 49.7M 1s 19700K .......... .......... .......... .......... .......... 56% 20.5M 1s 19750K .......... .......... .......... .......... .......... 56% 9.00M 1s 19800K .......... .......... .......... .......... .......... 56% 20.0M 1s 19850K .......... .......... .......... .......... .......... 56% 51.0M 1s 19900K .......... .......... .......... .......... .......... 56% 35.3M 1s 19950K .......... .......... .......... .......... .......... 56% 31.7M 1s 20000K .......... .......... .......... .......... .......... 56% 7.54M 1s 20050K .......... .......... .......... .......... .......... 57% 16.1M 1s 20100K .......... .......... .......... .......... .......... 57% 7.81M 1s 20150K .......... .......... .......... .......... .......... 57% 30.1M 1s 20200K .......... .......... .......... .......... .......... 57% 49.0M 1s 20250K .......... .......... .......... .......... .......... 57% 2.02M 1s 20300K .......... .......... .......... .......... .......... 57% 16.5M 1s 20350K .......... .......... .......... .......... .......... 57% 29.0M 1s 20400K .......... .......... .......... .......... .......... 58% 49.7M 1s 20450K .......... .......... .......... .......... .......... 58% 45.5M 1s 20500K .......... .......... .......... .......... .......... 58% 48.9M 1s 20550K .......... .......... .......... .......... .......... 58% 50.0M 1s 20600K .......... .......... .......... .......... .......... 58% 50.8M 1s 20650K .......... .......... .......... .......... .......... 58% 38.7M 1s 20700K .......... .......... .......... .......... .......... 58% 50.3M 1s 20750K .......... .......... .......... .......... .......... 59% 49.3M 1s 20800K .......... .......... .......... .......... .......... 59% 25.1M 1s 20850K .......... .......... .......... .......... .......... 59% 9.01M 1s 20900K .......... .......... .......... .......... .......... 59% 47.5M 1s 20950K .......... .......... .......... .......... .......... 59% 17.6M 1s 21000K .......... .......... .......... .......... .......... 59% 51.1M 1s 21050K .......... .......... .......... .......... .......... 59% 11.0M 1s 21100K .......... .......... .......... .......... .......... 60% 17.2M 1s 21150K .......... .......... .......... .......... .......... 60% 51.5M 1s 21200K .......... .......... .......... .......... .......... 60% 49.0M 1s 21250K .......... .......... .......... .......... .......... 60% 26.9M 1s 21300K .......... .......... .......... .......... .......... 60% 5.51M 1s 21350K .......... .......... .......... .......... .......... 60% 16.7M 1s 21400K .......... .......... .......... .......... .......... 60% 9.57M 1s 21450K .......... .......... .......... .......... .......... 61% 50.4M 1s 21500K .......... .......... .......... .......... .......... 61% 1.92M 1s 21550K .......... .......... .......... .......... .......... 61% 23.5M 1s 21600K .......... .......... .......... .......... .......... 61% 48.3M 1s 21650K .......... .......... .......... .......... .......... 61% 40.2M 1s 21700K .......... .......... .......... .......... .......... 61% 45.9M 1s 21750K .......... .......... .......... .......... .......... 61% 37.1M 1s 21800K .......... .......... .......... .......... .......... 62% 48.4M 1s 21850K .......... .......... .......... .......... .......... 62% 47.0M 1s 21900K .......... .......... .......... .......... .......... 62% 49.0M 1s 21950K .......... .......... .......... .......... .......... 62% 37.4M 1s 22000K .......... .......... .......... .......... .......... 62% 47.9M 1s 22050K .......... .......... .......... .......... .......... 62% 51.3M 1s 22100K .......... .......... .......... .......... .......... 62% 12.9M 1s 22150K .......... .......... .......... .......... .......... 63% 18.2M 1s 22200K .......... .......... .......... .......... .......... 63% 16.1M 1s 22250K .......... .......... .......... .......... .......... 63% 49.4M 1s 22300K .......... .......... .......... .......... .......... 63% 9.29M 1s 22350K .......... .......... .......... .......... .......... 63% 23.1M 1s 22400K .......... .......... .......... .......... .......... 63% 36.9M 1s 22450K .......... .......... .......... .......... .......... 63% 51.4M 1s 22500K .......... .......... .......... .......... .......... 64% 34.0M 1s 22550K .......... .......... .......... .......... .......... 64% 7.15M 1s 22600K .......... .......... .......... .......... .......... 64% 18.2M 1s 22650K .......... .......... .......... .......... .......... 64% 6.70M 1s 22700K .......... .......... .......... .......... .......... 64% 52.7M 1s 22750K .......... .......... .......... .......... .......... 64% 47.5M 1s 22800K .......... .......... .......... .......... .......... 64% 1.89M 1s 22850K .......... .......... .......... .......... .......... 65% 27.3M 1s 22900K .......... .......... .......... .......... .......... 65% 44.1M 1s 22950K .......... .......... .......... .......... .......... 65% 49.6M 1s 23000K .......... .......... .......... .......... .......... 65% 52.6M 1s 23050K .......... .......... .......... .......... .......... 65% 44.6M 1s 23100K .......... .......... .......... .......... .......... 65% 72.6M 1s 23150K .......... .......... .......... .......... .......... 65% 54.7M 1s 23200K .......... .......... .......... .......... .......... 66% 51.2M 1s 23250K .......... .......... .......... .......... .......... 66% 51.9M 1s 23300K .......... .......... .......... .......... .......... 66% 33.4M 1s 23350K .......... .......... .......... .......... .......... 66% 14.8M 1s 23400K .......... .......... .......... .......... .......... 66% 13.9M 1s 23450K .......... .......... .......... .......... .......... 66% 31.5M 1s 23500K .......... .......... .......... .......... .......... 66% 16.2M 1s 23550K .......... .......... .......... .......... .......... 66% 9.12M 1s 23600K .......... .......... .......... .......... .......... 67% 23.0M 1s 23650K .......... .......... .......... .......... .......... 67% 48.5M 1s 23700K .......... .......... .......... .......... .......... 67% 51.0M 1s 23750K .......... .......... .......... .......... .......... 67% 24.3M 1s 23800K .......... .......... .......... .......... .......... 67% 7.12M 1s 23850K .......... .......... .......... .......... .......... 67% 17.7M 1s 23900K .......... .......... .......... .......... .......... 67% 7.93M 1s 23950K .......... .......... .......... .......... .......... 68% 28.0M 1s 24000K .......... .......... .......... .......... .......... 68% 80.8M 1s 24050K .......... .......... .......... .......... .......... 68% 1.91M 1s 24100K .......... .......... .......... .......... .......... 68% 23.9M 1s 24150K .......... .......... .......... .......... .......... 68% 48.9M 1s 24200K .......... .......... .......... .......... .......... 68% 33.4M 1s 24250K .......... .......... .......... .......... .......... 68% 46.2M 1s 24300K .......... .......... .......... .......... .......... 69% 47.3M 1s 24350K .......... .......... .......... .......... .......... 69% 48.0M 1s 24400K .......... .......... .......... .......... .......... 69% 37.6M 1s 24450K .......... .......... .......... .......... .......... 69% 49.1M 1s 24500K .......... .......... .......... .......... .......... 69% 47.3M 1s 24550K .......... .......... .......... .......... .......... 69% 44.3M 1s 24600K .......... .......... .......... .......... .......... 69% 27.8M 1s 24650K .......... .......... .......... .......... .......... 70% 26.3M 1s 24700K .......... .......... .......... .......... .......... 70% 11.1M 1s 24750K .......... .......... .......... .......... .......... 70% 20.0M 1s 24800K .......... .......... .......... .......... .......... 70% 65.6M 1s 24850K .......... .......... .......... .......... .......... 70% 8.73M 1s 24900K .......... .......... .......... .......... .......... 70% 19.7M 1s 24950K .......... .......... .......... .......... .......... 70% 48.7M 1s 25000K .......... .......... .......... .......... .......... 71% 49.5M 1s 25050K .......... .......... .......... .......... .......... 71% 30.0M 1s 25100K .......... .......... .......... .......... .......... 71% 5.49M 1s 25150K .......... .......... .......... .......... .......... 71% 16.0M 1s 25200K .......... .......... .......... .......... .......... 71% 6.43M 1s 25250K .......... .......... .......... .......... .......... 71% 47.6M 1s 25300K .......... .......... .......... .......... .......... 71% 2.25M 1s 25350K .......... .......... .......... .......... .......... 72% 17.0M 1s 25400K .......... .......... .......... .......... .......... 72% 39.7M 1s 25450K .......... .......... .......... .......... .......... 72% 40.6M 1s 25500K .......... .......... .......... .......... .......... 72% 45.0M 1s 25550K .......... .......... .......... .......... .......... 72% 36.2M 1s 25600K .......... .......... .......... .......... .......... 72% 48.6M 1s 25650K .......... .......... .......... .......... .......... 72% 50.5M 1s 25700K .......... .......... .......... .......... .......... 73% 53.0M 1s 25750K .......... .......... .......... .......... .......... 73% 74.3M 1s 25800K .......... .......... .......... .......... .......... 73% 39.4M 1s 25850K .......... .......... .......... .......... .......... 73% 69.8M 1s 25900K .......... .......... .......... .......... .......... 73% 15.6M 1s 25950K .......... .......... .......... .......... .......... 73% 13.3M 1s 26000K .......... .......... .......... .......... .......... 73% 14.1M 1s 26050K .......... .......... .......... .......... .......... 74% 44.9M 1s 26100K .......... .......... .......... .......... .......... 74% 9.43M 1s 26150K .......... .......... .......... .......... .......... 74% 11.6M 1s 26200K .......... .......... .......... .......... .......... 74% 62.2M 1s 26250K .......... .......... .......... .......... .......... 74% 40.2M 1s 26300K .......... .......... .......... .......... .......... 74% 78.8M 1s 26350K .......... .......... .......... .......... .......... 74% 8.14M 1s 26400K .......... .......... .......... .......... .......... 75% 11.5M 1s 26450K .......... .......... .......... .......... .......... 75% 6.12M 1s 26500K .......... .......... .......... .......... .......... 75% 47.1M 1s 26550K .......... .......... .......... .......... .......... 75% 49.5M 1s 26600K .......... .......... .......... .......... .......... 75% 2.06M 1s 26650K .......... .......... .......... .......... .......... 75% 31.7M 1s 26700K .......... .......... .......... .......... .......... 75% 43.6M 1s 26750K .......... .......... .......... .......... .......... 76% 47.7M 1s 26800K .......... .......... .......... .......... .......... 76% 46.6M 1s 26850K .......... .......... .......... .......... .......... 76% 46.8M 1s 26900K .......... .......... .......... .......... .......... 76% 36.9M 1s 26950K .......... .......... .......... .......... .......... 76% 48.3M 1s 27000K .......... .......... .......... .......... .......... 76% 49.2M 1s 27050K .......... .......... .......... .......... .......... 76% 45.5M 1s 27100K .......... .......... .......... .......... .......... 77% 38.0M 1s 27150K .......... .......... .......... .......... .......... 77% 37.2M 1s 27200K .......... .......... .......... .......... .......... 77% 10.5M 1s 27250K .......... .......... .......... .......... .......... 77% 36.7M 1s 27300K .......... .......... .......... .......... .......... 77% 14.6M 1s 27350K .......... .......... .......... .......... .......... 77% 9.11M 1s 27400K .......... .......... .......... .......... .......... 77% 23.2M 1s 27450K .......... .......... .......... .......... .......... 78% 16.5M 1s 27500K .......... .......... .......... .......... .......... 78% 57.6M 1s 27550K .......... .......... .......... .......... .......... 78% 43.9M 1s 27600K .......... .......... .......... .......... .......... 78% 8.11M 1s 27650K .......... .......... .......... .......... .......... 78% 19.0M 1s 27700K .......... .......... .......... .......... .......... 78% 7.04M 1s 27750K .......... .......... .......... .......... .......... 78% 12.2M 1s 27800K .......... .......... .......... .......... .......... 79% 43.4M 1s 27850K .......... .......... .......... .......... .......... 79% 2.23M 1s 27900K .......... .......... .......... .......... .......... 79% 37.5M 1s 27950K .......... .......... .......... .......... .......... 79% 48.4M 1s 28000K .......... .......... .......... .......... .......... 79% 25.3M 1s 28050K .......... .......... .......... .......... .......... 79% 45.1M 1s 28100K .......... .......... .......... .......... .......... 79% 45.2M 1s 28150K .......... .......... .......... .......... .......... 80% 51.6M 1s 28200K .......... .......... .......... .......... .......... 80% 38.0M 1s 28250K .......... .......... .......... .......... .......... 80% 50.4M 1s 28300K .......... .......... .......... .......... .......... 80% 50.2M 1s 28350K .......... .......... .......... .......... .......... 80% 54.9M 1s 28400K .......... .......... .......... .......... .......... 80% 23.9M 1s 28450K .......... .......... .......... .......... .......... 80% 20.8M 1s 28500K .......... .......... .......... .......... .......... 81% 15.1M 1s 28550K .......... .......... .......... .......... .......... 81% 31.8M 1s 28600K .......... .......... .......... .......... .......... 81% 17.7M 1s 28650K .......... .......... .......... .......... .......... 81% 8.70M 1s 28700K .......... .......... .......... .......... .......... 81% 15.4M 1s 28750K .......... .......... .......... .......... .......... 81% 26.5M 0s 28800K .......... .......... .......... .......... .......... 81% 73.3M 0s 28850K .......... .......... .......... .......... .......... 82% 53.7M 0s 28900K .......... .......... .......... .......... .......... 82% 57.0M 0s 28950K .......... .......... .......... .......... .......... 82% 5.82M 0s 29000K .......... .......... .......... .......... .......... 82% 7.71M 0s 29050K .......... .......... .......... .......... .......... 82% 9.60M 0s 29100K .......... .......... .......... .......... .......... 82% 49.6M 0s 29150K .......... .......... .......... .......... .......... 82% 2.25M 0s 29200K .......... .......... .......... .......... .......... 83% 30.3M 0s 29250K .......... .......... .......... .......... .......... 83% 34.1M 0s 29300K .......... .......... .......... .......... .......... 83% 51.9M 0s 29350K .......... .......... .......... .......... .......... 83% 59.7M 0s 29400K .......... .......... .......... .......... .......... 83% 40.4M 0s 29450K .......... .......... .......... .......... .......... 83% 53.2M 0s 29500K .......... .......... .......... .......... .......... 83% 55.4M 0s 29550K .......... .......... .......... .......... .......... 84% 52.1M 0s 29600K .......... .......... .......... .......... .......... 84% 42.2M 0s 29650K .......... .......... .......... .......... .......... 84% 29.4M 0s 29700K .......... .......... .......... .......... .......... 84% 15.3M 0s 29750K .......... .......... .......... .......... .......... 84% 49.5M 0s 29800K .......... .......... .......... .......... .......... 84% 15.3M 0s 29850K .......... .......... .......... .......... .......... 84% 13.0M 0s 29900K .......... .......... .......... .......... .......... 85% 51.5M 0s 29950K .......... .......... .......... .......... .......... 85% 7.39M 0s 30000K .......... .......... .......... .......... .......... 85% 40.9M 0s 30050K .......... .......... .......... .......... .......... 85% 19.3M 0s 30100K .......... .......... .......... .......... .......... 85% 76.7M 0s 30150K .......... .......... .......... .......... .......... 85% 76.0M 0s 30200K .......... .......... .......... .......... .......... 85% 7.45M 0s 30250K .......... .......... .......... .......... .......... 86% 17.3M 0s 30300K .......... .......... .......... .......... .......... 86% 7.78M 0s 30350K .......... .......... .......... .......... .......... 86% 10.2M 0s 30400K .......... .......... .......... .......... .......... 86% 2.20M 0s 30450K .......... .......... .......... .......... .......... 86% 49.6M 0s 30500K .......... .......... .......... .......... .......... 86% 35.4M 0s 30550K .......... .......... .......... .......... .......... 86% 33.2M 0s 30600K .......... .......... .......... .......... .......... 87% 48.1M 0s 30650K .......... .......... .......... .......... .......... 87% 54.4M 0s 30700K .......... .......... .......... .......... .......... 87% 38.7M 0s 30750K .......... .......... .......... .......... .......... 87% 49.5M 0s 30800K .......... .......... .......... .......... .......... 87% 53.1M 0s 30850K .......... .......... .......... .......... .......... 87% 56.9M 0s 30900K .......... .......... .......... .......... .......... 87% 59.3M 0s 30950K .......... .......... .......... .......... .......... 88% 20.5M 0s 31000K .......... .......... .......... .......... .......... 88% 19.0M 0s 31050K .......... .......... .......... .......... .......... 88% 43.2M 0s 31100K .......... .......... .......... .......... .......... 88% 17.7M 0s 31150K .......... .......... .......... .......... .......... 88% 11.1M 0s 31200K .......... .......... .......... .......... .......... 88% 74.4M 0s 31250K .......... .......... .......... .......... .......... 88% 7.48M 0s 31300K .......... .......... .......... .......... .......... 88% 51.1M 0s 31350K .......... .......... .......... .......... .......... 89% 16.8M 0s 31400K .......... .......... .......... .......... .......... 89% 47.2M 0s 31450K .......... .......... .......... .......... .......... 89% 56.8M 0s 31500K .......... .......... .......... .......... .......... 89% 6.46M 0s 31550K .......... .......... .......... .......... .......... 89% 47.8M 0s 31600K .......... .......... .......... .......... .......... 89% 7.71M 0s 31650K .......... .......... .......... .......... .......... 89% 10.3M 0s 31700K .......... .......... .......... .......... .......... 90% 2.24M 0s 31750K .......... .......... .......... .......... .......... 90% 66.1M 0s 31800K .......... .......... .......... .......... .......... 90% 22.3M 0s 31850K .......... .......... .......... .......... .......... 90% 35.6M 0s 31900K .......... .......... .......... .......... .......... 90% 54.4M 0s 31950K .......... .......... .......... .......... .......... 90% 59.3M 0s 32000K .......... .......... .......... .......... .......... 90% 75.6M 0s 32050K .......... .......... .......... .......... .......... 91% 62.7M 0s 32100K .......... .......... .......... .......... .......... 91% 86.3M 0s 32150K .......... .......... .......... .......... .......... 91% 30.1M 0s 32200K .......... .......... .......... .......... .......... 91% 17.6M 0s 32250K .......... .......... .......... .......... .......... 91% 28.3M 0s 32300K .......... .......... .......... .......... .......... 91% 25.6M 0s 32350K .......... .......... .......... .......... .......... 91% 80.9M 0s 32400K .......... .......... .......... .......... .......... 92% 16.5M 0s 32450K .......... .......... .......... .......... .......... 92% 12.4M 0s 32500K .......... .......... .......... .......... .......... 92% 47.0M 0s 32550K .......... .......... .......... .......... .......... 92% 6.99M 0s 32600K .......... .......... .......... .......... .......... 92% 55.4M 0s 32650K .......... .......... .......... .......... .......... 92% 21.0M 0s 32700K .......... .......... .......... .......... .......... 92% 54.5M 0s 32750K .......... .......... .......... .......... .......... 93% 77.4M 0s 32800K .......... .......... .......... .......... .......... 93% 5.94M 0s 32850K .......... .......... .......... .......... .......... 93% 73.3M 0s 32900K .......... .......... .......... .......... .......... 93% 7.44M 0s 32950K .......... .......... .......... .......... .......... 93% 10.5M 0s 33000K .......... .......... .......... .......... .......... 93% 2.21M 0s 33050K .......... .......... .......... .......... .......... 93% 44.8M 0s 33100K .......... .......... .......... .......... .......... 94% 26.8M 0s 33150K .......... .......... .......... .......... .......... 94% 38.7M 0s 33200K .......... .......... .......... .......... .......... 94% 64.4M 0s 33250K .......... .......... .......... .......... .......... 94% 83.8M 0s 33300K .......... .......... .......... .......... .......... 94% 83.5M 0s 33350K .......... .......... .......... .......... .......... 94% 84.4M 0s 33400K .......... .......... .......... .......... .......... 94% 82.1M 0s 33450K .......... .......... .......... .......... .......... 95% 22.9M 0s 33500K .......... .......... .......... .......... .......... 95% 15.6M 0s 33550K .......... .......... .......... .......... .......... 95% 43.6M 0s 33600K .......... .......... .......... .......... .......... 95% 22.3M 0s 33650K .......... .......... .......... .......... .......... 95% 14.1M 0s 33700K .......... .......... .......... .......... .......... 95% 47.1M 0s 33750K .......... .......... .......... .......... .......... 95% 14.2M 0s 33800K .......... .......... .......... .......... .......... 96% 52.9M 0s 33850K .......... .......... .......... .......... .......... 96% 6.96M 0s 33900K .......... .......... .......... .......... .......... 96% 51.7M 0s 33950K .......... .......... .......... .......... .......... 96% 21.7M 0s 34000K .......... .......... .......... .......... .......... 96% 48.6M 0s 34050K .......... .......... .......... .......... .......... 96% 51.6M 0s 34100K .......... .......... .......... .......... .......... 96% 6.11M 0s 34150K .......... .......... .......... .......... .......... 97% 43.1M 0s 34200K .......... .......... .......... .......... .......... 97% 7.80M 0s 34250K .......... .......... .......... .......... .......... 97% 11.7M 0s 34300K .......... .......... .......... .......... .......... 97% 2.14M 0s 34350K .......... .......... .......... .......... .......... 97% 42.3M 0s 34400K .......... .......... .......... .......... .......... 97% 40.6M 0s 34450K .......... .......... .......... .......... .......... 97% 35.1M 0s 34500K .......... .......... .......... .......... .......... 98% 43.0M 0s 34550K .......... .......... .......... .......... .......... 98% 35.1M 0s 34600K .......... .......... .......... .......... .......... 98% 48.0M 0s 34650K .......... .......... .......... .......... .......... 98% 48.3M 0s 34700K .......... .......... .......... .......... .......... 98% 49.1M 0s 34750K .......... .......... .......... .......... .......... 98% 39.4M 0s 34800K .......... .......... .......... .......... .......... 98% 27.5M 0s 34850K .......... .......... .......... .......... .......... 99% 49.5M 0s 34900K .......... .......... .......... .......... .......... 99% 25.2M 0s 34950K .......... .......... .......... .......... .......... 99% 45.8M 0s 35000K .......... .......... .......... .......... .......... 99% 13.9M 0s 35050K .......... .......... .......... .......... .......... 99% 16.2M 0s 35100K .......... .......... .......... .......... .......... 99% 45.6M 0s 35150K .......... .......... .......... .......... .......... 99% 7.63M 0s 35200K .......... .......... ....... 100% 23.3M=2.6s 2019-09-15 08:42:09 (13.1 MB/s) - `/home/test/autobuild/run/instance-1/output-1/build/.qemu-3.1.1.tar.xz.AC6VnX/output' saved [36072500/36072500] qemu-3.1.1.tar.xz: OK (sha256: d7c69fef3fb4bfbac99e3f2ac9fb8d6409db4faadf2e37337d544e3fdb4fde3a) >>> qemu 3.1.1 Extracting xzcat /home/test/autobuild/run/instance-1/dl/qemu/qemu-3.1.1.tar.xz | /home/test/autobuild/run/instance-1/output-1/host/bin/tar --strip-components=1 -C /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1 -xf - >>> qemu 3.1.1 Patching Applying 0001-user-exec-fix-usage-of-mcontext-structure-on-ARM-uCl.patch using patch: patching file accel/tcg/user-exec.c Hunk #1 succeeded at 464 (offset 1 line). >>> qemu 3.1.1 Configuring ( cd /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1; LIBS='-lrt -lm' PATH="/home/test/autobuild/run/instance-1/output-1/host/bin:/home/test/autobuild/run/instance-1/output-1/host/sbin:/usr/local/bin:/usr/bin:/bin:/usr/local/games:/usr/games" AR="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-ar" AS="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-as" LD="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-ld" NM="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-nm" CC="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc" GCC="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc" CPP="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-cpp" CXX="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-g++" FC="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gfortran" F77="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gfortran" RANLIB="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-ranlib" READELF="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-readelf" STRIP="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-strip" OBJCOPY="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-objcopy" OBJDUMP="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-objdump" AR_FOR_BUILD="/usr/bin/ar" AS_FOR_BUILD="/usr/bin/as" CC_FOR_BUILD="/usr/bin/gcc" GCC_FOR_BUILD="/usr/bin/gcc" CXX_FOR_BUILD="/usr/bin/g++" LD_FOR_BUILD="/usr/bin/ld" CPPFLAGS_FOR_BUILD="-I/home/test/autobuild/run/instance-1/output-1/host/include" CFLAGS_FOR_BUILD="-O2 -I/home/test/autobuild/run/instance-1/output-1/host/include" CXXFLAGS_FOR_BUILD="-O2 -I/home/test/autobuild/run/instance-1/output-1/host/include" LDFLAGS_FOR_BUILD="-L/home/test/autobuild/run/instance-1/output-1/host/lib -Wl,-rpath,/home/test/autobuild/run/instance-1/output-1/host/lib" FCFLAGS_FOR_BUILD="" DEFAULT_ASSEMBLER="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-as" DEFAULT_LINKER="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-ld" CPPFLAGS="-D_LARGEFILE_SOURCE -D_LARGEFILE64_SOURCE -D_FILE_OFFSET_BITS=64" CFLAGS="-D_LARGEFILE_SOURCE -D_LARGEFILE64_SOURCE -D_FILE_OFFSET_BITS=64 -Os " CXXFLAGS="-D_LARGEFILE_SOURCE -D_LARGEFILE64_SOURCE -D_FILE_OFFSET_BITS=64 -Os " LDFLAGS="" FCFLAGS=" -Os " FFLAGS=" -Os " PKG_CONFIG="/home/test/autobuild/run/instance-1/output-1/host/bin/pkg-config" STAGING_DIR="/home/test/autobuild/run/instance-1/output-1/host/x86_64-buildroot-linux-gnu/sysroot" INTLTOOL_PERL=/usr/bin/perl ac_cv_lbl_unaligned_fail=no ac_cv_func_mmap_fixed_mapped=yes ac_cv_func_memcmp_working=yes ac_cv_have_decl_malloc=yes gl_cv_func_malloc_0_nonnull=yes ac_cv_func_malloc_0_nonnull=yes ac_cv_func_calloc_0_nonnull=yes ac_cv_func_realloc_0_nonnull=yes lt_cv_sys_lib_search_path_spec="" ac_cv_c_bigendian=no CPP="/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc -E" LIBTOOL=/home/test/autobuild/run/instance-1/output-1/host/bin/libtool SDL2_CONFIG=/usr/bin/sdl2-config ./configure --prefix=/usr --cross-prefix=/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu- --audio-drv-list= --enable-kvm --enable-attr --enable-vhost-net --disable-bsd-user --disable-xen --disable-vnc --disable-virtfs --disable-brlapi --disable-curses --disable-curl --disable-bluez --disable-vde --disable-linux-aio --disable-cap-ng --disable-docs --disable-spice --disable-rbd --disable-libiscsi --disable-usb-redir --disable-strip --disable-sparse --disable-mpath --disable-sanitizers --disable-hvf --disable-whpx --disable-malloc-trim --disable-membarrier --disable-vhost-crypto --disable-libxml2 --disable-capstone --disable-git-update --disable-opengl --enable-system --disable-linux-user --disable-slirp --enable-sdl --enable-fdt --disable-tools --disable-seccomp --disable-libssh2 ) Install prefix /usr BIOS directory /usr/share/qemu firmware path /usr/share/qemu-firmware binary directory /usr/bin library directory /usr/lib module directory /usr/lib/qemu libexec directory /usr/libexec include directory /usr/include config directory /usr/etc local state directory /usr/var Manual directory /usr/share/man ELF interp prefix /usr/gnemul/qemu-%M Source path /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1 GIT binary git GIT submodules C compiler /home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc Host C compiler cc C++ compiler /home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-g++ Objective-C compiler /home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc ARFLAGS rv CFLAGS -O2 -U_FORTIFY_SOURCE -D_FORTIFY_SOURCE=2 -g -D_LARGEFILE_SOURCE -D_LARGEFILE64_SOURCE -D_FILE_OFFSET_BITS=64 -Os QEMU_CFLAGS -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include/pixman-1 -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include -pthread -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include/glib-2.0 -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/lib/glib-2.0/include -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include -fPIE -DPIE -m64 -mcx16 -D_GNU_SOURCE -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE -Wstrict-prototypes -Wredundant-decls -Wall -Wundef -Wwrite-strings -Wmissing-prototypes -fno-strict-aliasing -fno-common -fwrapv -Wendif-labels -Wno-shift-negative-value -Wno-missing-include-dirs -Wempty-body -Wnested-externs -Wformat-security -Wformat-y2k -Winit-self -Wignored-qualifiers -Wold-style-declaration -Wold-style-definition -Wtype-limits -fstack-protector-strong -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include -I/home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include LDFLAGS -Wl,--warn-common -Wl,-z,relro -Wl,-z,now -pie -m64 -g QEMU_LDFLAGS make make install install python python -B module support no host CPU x86_64 host big endian no target list aarch64-softmmu alpha-softmmu arm-softmmu cris-softmmu hppa-softmmu i386-softmmu lm32-softmmu m68k-softmmu microblaze-softmmu microblazeel-softmmu mips-softmmu mips64-softmmu mips64el-softmmu mipsel-softmmu moxie-softmmu nios2-softmmu or1k-softmmu ppc-softmmu ppc64-softmmu riscv32-softmmu riscv64-softmmu s390x-softmmu sh4-softmmu sh4eb-softmmu sparc-softmmu sparc64-softmmu tricore-softmmu unicore32-softmmu x86_64-softmmu xtensa-softmmu xtensaeb-softmmu gprof enabled no sparse enabled no strip binaries no profiler no static build no SDL support yes (2.0.9) GTK support no GTK GL support no VTE support no TLS priority NORMAL GNUTLS support yes libgcrypt no nettle yes (3.4.1) libtasn1 yes curses support no virgl support no curl support no mingw32 support no Audio drivers Block whitelist (rw) Block whitelist (ro) VirtFS support no Multipath support no VNC support no xen support no brlapi support no bluez support no Documentation no PIE yes vde support no netmap support no Linux AIO support no ATTR/XATTR support yes Install blobs yes KVM support yes HAX support no HVF support no WHPX support no TCG support yes TCG debug enabled no TCG interpreter no malloc trim support no RDMA support no PVRDMA support no fdt support system membarrier no preadv support yes fdatasync yes madvise yes posix_madvise yes posix_memalign yes libcap-ng support no vhost-net support yes vhost-crypto support no vhost-scsi support yes vhost-vsock support yes vhost-user support yes Trace backends log spice support no rbd support no xfsctl support no smartcard support no libusb yes usb net redir no OpenGL support no OpenGL dmabufs no libiscsi support no libnfs support no build guest agent yes QGA VSS support no QGA w32 disk info no QGA MSI support no seccomp support no coroutine backend ucontext coroutine pool yes debug stack usage no mutex debugging no crypto afalg no GlusterFS support no gcov gcov gcov enabled no TPM support yes libssh2 support no TPM passthrough yes TPM emulator yes QOM debugging yes Live block migration yes lzo support yes snappy support no bzip2 support yes NUMA host support yes libxml2 no tcmalloc support no jemalloc support no avx2 optimization yes replication support yes VxHS block device no bochs support yes cloop support yes dmg support yes qcow v1 support yes vdi support yes vvfat support yes qed support yes parallels support yes sheepdog support yes capstone no docker no libpmem support no libudev no NOTE: cross-compilers enabled: '/home/test/autobuild/run/instance-1/output-1/host/bin/x86_64-amd-linux-gnu-gcc' >>> qemu 3.1.1 Building PATH="/home/test/autobuild/run/instance-1/output-1/host/bin:/home/test/autobuild/run/instance-1/output-1/host/sbin:/usr/local/bin:/usr/bin:/bin:/usr/local/games:/usr/games" /usr/bin/make -j4 -C /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1 make[1]: Entering directory `/home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1' GEN aarch64-softmmu/config-devices.mak.tmp GEN alpha-softmmu/config-devices.mak.tmp GEN arm-softmmu/config-devices.mak.tmp GEN cris-softmmu/config-devices.mak.tmp GEN cris-softmmu/config-devices.mak GEN hppa-softmmu/config-devices.mak.tmp GEN alpha-softmmu/config-devices.mak GEN aarch64-softmmu/config-devices.mak GEN i386-softmmu/config-devices.mak.tmp GEN lm32-softmmu/config-devices.mak.tmp GEN arm-softmmu/config-devices.mak GEN m68k-softmmu/config-devices.mak.tmp GEN hppa-softmmu/config-devices.mak GEN lm32-softmmu/config-devices.mak GEN microblaze-softmmu/config-devices.mak.tmp GEN m68k-softmmu/config-devices.mak GEN microblazeel-softmmu/config-devices.mak.tmp GEN mips-softmmu/config-devices.mak.tmp GEN microblaze-softmmu/config-devices.mak GEN mips64-softmmu/config-devices.mak.tmp GEN microblazeel-softmmu/config-devices.mak GEN mips64el-softmmu/config-devices.mak.tmp GEN i386-softmmu/config-devices.mak GEN mipsel-softmmu/config-devices.mak.tmp GEN mips-softmmu/config-devices.mak GEN moxie-softmmu/config-devices.mak.tmp GEN moxie-softmmu/config-devices.mak GEN mips64-softmmu/config-devices.mak GEN mips64el-softmmu/config-devices.mak GEN mipsel-softmmu/config-devices.mak GEN nios2-softmmu/config-devices.mak.tmp GEN or1k-softmmu/config-devices.mak.tmp GEN ppc-softmmu/config-devices.mak.tmp GEN ppc64-softmmu/config-devices.mak.tmp GEN nios2-softmmu/config-devices.mak GEN or1k-softmmu/config-devices.mak GEN riscv32-softmmu/config-devices.mak.tmp GEN riscv64-softmmu/config-devices.mak.tmp GEN ppc-softmmu/config-devices.mak GEN s390x-softmmu/config-devices.mak.tmp GEN riscv32-softmmu/config-devices.mak GEN riscv64-softmmu/config-devices.mak GEN ppc64-softmmu/config-devices.mak GEN sh4-softmmu/config-devices.mak.tmp GEN s390x-softmmu/config-devices.mak GEN sh4eb-softmmu/config-devices.mak.tmp GEN sparc-softmmu/config-devices.mak.tmp GEN sparc64-softmmu/config-devices.mak.tmp GEN sparc-softmmu/config-devices.mak GEN tricore-softmmu/config-devices.mak.tmp GEN sh4-softmmu/config-devices.mak GEN sh4eb-softmmu/config-devices.mak GEN unicore32-softmmu/config-devices.mak.tmp GEN tricore-softmmu/config-devices.mak GEN x86_64-softmmu/config-devices.mak.tmp GEN xtensa-softmmu/config-devices.mak.tmp GEN unicore32-softmmu/config-devices.mak GEN xtensaeb-softmmu/config-devices.mak.tmp GEN xtensaeb-softmmu/config-devices.mak GEN sparc64-softmmu/config-devices.mak GEN /home/test/autobuild/run/instance-1/output-1/targetconfig-host.h GEN qemu-options.def GEN xtensa-softmmu/config-devices.mak GEN qapi-gen GEN trace/generated-tcg-tracers.h GEN x86_64-softmmu/config-devices.mak GEN trace/generated-helpers-wrappers.h GEN trace/generated-helpers.h GEN trace/generated-helpers.c GEN module_block.h GEN ui/input-keymap-atset1-to-qcode.c GEN ui/input-keymap-linux-to-qcode.c GEN ui/input-keymap-qcode-to-atset1.c GEN ui/input-keymap-qcode-to-atset2.c GEN ui/input-keymap-qcode-to-atset3.c GEN ui/input-keymap-qcode-to-linux.c GEN ui/input-keymap-qcode-to-qnum.c GEN ui/input-keymap-qcode-to-sun.c GEN ui/input-keymap-qnum-to-qcode.c GEN ui/input-keymap-usb-to-qcode.c GEN ui/input-keymap-win32-to-qcode.c GEN ui/input-keymap-x11-to-qcode.c GEN ui/input-keymap-xorgevdev-to-qcode.c GEN ui/input-keymap-xorgkbd-to-qcode.c GEN ui/input-keymap-xorgxquartz-to-qcode.c GEN ui/input-keymap-xorgxwin-to-qcode.c GEN ui/input-keymap-osx-to-qcode.c GEN tests/test-qapi-gen GEN trace-root.h GEN accel/kvm/trace.h GEN accel/tcg/trace.h GEN audio/trace.h GEN block/trace.h GEN chardev/trace.h GEN crypto/trace.h GEN hw/9pfs/trace.h GEN hw/acpi/trace.h GEN hw/alpha/trace.h GEN hw/arm/trace.h GEN hw/audio/trace.h GEN hw/block/trace.h GEN hw/block/dataplane/trace.h GEN hw/char/trace.h GEN hw/display/trace.h GEN hw/dma/trace.h GEN hw/hppa/trace.h GEN hw/i2c/trace.h GEN hw/i386/trace.h GEN hw/i386/xen/trace.h GEN hw/ide/trace.h GEN hw/input/trace.h GEN hw/intc/trace.h GEN hw/isa/trace.h GEN hw/mem/trace.h GEN hw/misc/trace.h GEN hw/misc/macio/trace.h GEN hw/net/trace.h GEN hw/nvram/trace.h GEN hw/pci/trace.h GEN hw/pci-host/trace.h GEN hw/ppc/trace.h GEN hw/rdma/trace.h GEN hw/rdma/vmw/trace.h GEN hw/s390x/trace.h GEN hw/scsi/trace.h GEN hw/sd/trace.h GEN hw/sparc/trace.h GEN hw/sparc64/trace.h GEN hw/timer/trace.h GEN hw/tpm/trace.h GEN hw/usb/trace.h GEN hw/vfio/trace.h GEN hw/virtio/trace.h GEN hw/watchdog/trace.h GEN hw/xen/trace.h GEN io/trace.h GEN linux-user/trace.h GEN migration/trace.h GEN nbd/trace.h GEN net/trace.h GEN qapi/trace.h GEN qom/trace.h GEN scsi/trace.h GEN target/arm/trace.h GEN target/i386/trace.h GEN target/mips/trace.h GEN target/ppc/trace.h GEN target/s390x/trace.h GEN target/sparc/trace.h GEN ui/trace.h GEN util/trace.h GEN trace-root.c GEN accel/kvm/trace.c GEN accel/tcg/trace.c GEN audio/trace.c GEN block/trace.c GEN chardev/trace.c GEN crypto/trace.c GEN hw/9pfs/trace.c GEN hw/acpi/trace.c GEN hw/alpha/trace.c GEN hw/arm/trace.c GEN hw/audio/trace.c GEN hw/block/trace.c GEN hw/block/dataplane/trace.c GEN hw/char/trace.c GEN hw/display/trace.c GEN hw/dma/trace.c GEN hw/hppa/trace.c GEN hw/i2c/trace.c GEN hw/i386/trace.c GEN hw/i386/xen/trace.c GEN hw/ide/trace.c GEN hw/input/trace.c GEN hw/intc/trace.c GEN hw/isa/trace.c GEN hw/mem/trace.c GEN hw/misc/trace.c GEN hw/misc/macio/trace.c GEN hw/net/trace.c GEN hw/nvram/trace.c GEN hw/pci/trace.c GEN hw/pci-host/trace.c GEN hw/ppc/trace.c GEN hw/rdma/trace.c GEN hw/rdma/vmw/trace.c GEN hw/s390x/trace.c GEN hw/scsi/trace.c GEN hw/sd/trace.c GEN hw/sparc/trace.c GEN hw/sparc64/trace.c GEN hw/timer/trace.c GEN hw/tpm/trace.c GEN hw/usb/trace.c GEN hw/vfio/trace.c GEN hw/virtio/trace.c GEN hw/watchdog/trace.c GEN hw/xen/trace.c GEN io/trace.c GEN linux-user/trace.c GEN migration/trace.c GEN nbd/trace.c GEN net/trace.c GEN qapi/trace.c GEN qom/trace.c GEN scsi/trace.c GEN target/arm/trace.c GEN target/i386/trace.c GEN target/mips/trace.c GEN target/ppc/trace.c GEN target/s390x/trace.c GEN target/sparc/trace.c GEN ui/trace.c GEN util/trace.c GEN config-all-devices.mak make[1]: Leaving directory `/home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1' make[1]: Entering directory `/home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1' CC /home/test/autobuild/run/instance-1/output-1/targettests/qemu-iotests/socket_scm_helper.o GEN qga/qapi-generated/qapi-gen CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-builtin-types.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-block-core.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-block.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-char.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-common.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-crypto.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-introspect.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-job.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-migration.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-misc.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-net.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-rocker.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-run-state.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-sockets.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-transaction.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-types-ui.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-builtin-visit.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-block-core.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-block.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-char.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-common.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-crypto.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-introspect.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-job.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-migration.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-misc.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-net.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-rocker.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-run-state.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-sockets.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-transaction.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-ui.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-block-core.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-block.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-char.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-common.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-crypto.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-introspect.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-job.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-migration.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-misc.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-net.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-rocker.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-run-state.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-sockets.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-transaction.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-events-ui.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-introspect.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-visit-core.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-dealloc-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qobject-input-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qobject-output-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qmp-registry.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qmp-dispatch.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/string-input-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/string-output-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/opts-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-clone-visitor.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qmp-event.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-util.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qnull.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qnum.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qstring.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qdict.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qlist.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qbool.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qlit.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qjson.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/qobject.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/json-lexer.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/json-streamer.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/json-parser.o CC /home/test/autobuild/run/instance-1/output-1/targetqobject/block-qdict.o CC /home/test/autobuild/run/instance-1/output-1/targettrace/control.o CC /home/test/autobuild/run/instance-1/output-1/targettrace/qmp.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/osdep.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/cutils.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/unicode.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-timer-common.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/bufferiszero.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/lockcnt.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/aiocb.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/async.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/aio-wait.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/thread-pool.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-timer.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/main-loop.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/iohandler.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/aio-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/compatfd.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/event_notifier-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/mmap-alloc.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/oslib-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-openpty.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-thread-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/memfd.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/envlist.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/path.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/module.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/host-utils.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/bitmap.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/bitops.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/hbitmap.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/fifo8.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/acl.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/cacheinfo.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/error.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-error.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/id.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/iov.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-config.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-sockets.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/uri.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/notify.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-option.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-progress.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/keyval.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/hexdump.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/crc32c.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/uuid.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/throttle.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/getauxval.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/readline.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/rcu.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-coroutine.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-coroutine-lock.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-coroutine-io.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qemu-coroutine-sleep.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/coroutine-ucontext.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/buffer.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/timed-average.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/base64.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/log.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/pagesize.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qdist.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qht.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/qsp.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/range.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/stats64.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/systemd.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/iova-tree.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/vfio-helpers.o CC /home/test/autobuild/run/instance-1/output-1/targettrace-root.o CC /home/test/autobuild/run/instance-1/output-1/targetaccel/kvm/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetaccel/tcg/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetchardev/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/9pfs/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/alpha/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/arm/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/dataplane/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/hppa/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i386/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i386/xen/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/mem/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ppc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/rdma/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/rdma/vmw/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/s390x/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sparc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sparc64/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/vfio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/trace.o CC /home/test/autobuild/run/instance-1/output-1/targethw/xen/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetio/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetlinux-user/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetnbd/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetscsi/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/arm/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/i386/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/mips/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/ppc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/s390x/trace.o CC /home/test/autobuild/run/instance-1/output-1/targettarget/sparc/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetui/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetutil/trace.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/pbkdf-stub.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/arch-query-cpu-def.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/arch-query-cpu-model-expansion.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/arch-query-cpu-model-comparison.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/arch-query-cpu-model-baseline.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/bdrv-next-monitor-owned.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/blk-commit-all.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/blockdev-close-all-bdrv-states.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/clock-warp.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/cpu-get-clock.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/cpu-get-icount.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/dump.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/error-printf.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/fdset.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/gdbstub.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/get-vm-name.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/iothread.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/iothread-lock.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/is-daemonized.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/machine-init-done.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/migr-blocker.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/change-state-handler.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/monitor.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/notify-event.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/qtest.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/replay.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/runstate-check.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/set-fd-handler.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/slirp.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/sysbus.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/trace-control.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/uuid.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/vm-stop.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/vmstate.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/qmp_memory_device.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/target-monitor-defs.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/target-get-monitor-def.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/pc_madt_cpu_entry.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/vmgenid.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/xen-common.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/xen-hvm.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/pci-host-piix.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/ram-block.o CC /home/test/autobuild/run/instance-1/output-1/targetstubs/ramfb.o CC /home/test/autobuild/run/instance-1/output-1/targetscsi/qemu-pr-helper.o CC /home/test/autobuild/run/instance-1/output-1/targetscsi/utils.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/init.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/hash.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/hash-nettle.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/hmac.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/hmac-nettle.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/aes.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/desrfb.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/cipher.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/tlscreds.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/tlscredsanon.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/tlscredspsk.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/tlscredsx509.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/tlssession.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/secret.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/random-gnutls.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/pbkdf.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/pbkdf-nettle.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/ivgen.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/ivgen-essiv.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/ivgen-plain.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/ivgen-plain64.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/afsplit.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/xts.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/block.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/block-qcow.o CC /home/test/autobuild/run/instance-1/output-1/targetcrypto/block-luks.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-buffer.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-command.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-file.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-socket.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-tls.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-watch.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-websock.o CC /home/test/autobuild/run/instance-1/output-1/targetio/channel-util.o CC /home/test/autobuild/run/instance-1/output-1/targetio/dns-resolver.o CC /home/test/autobuild/run/instance-1/output-1/targetio/net-listener.o CC /home/test/autobuild/run/instance-1/output-1/targetio/task.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/object.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/container.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/qom-qobject.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/object_interfaces.o CC /home/test/autobuild/run/instance-1/output-1/targetqemu-bridge-helper.o CC /home/test/autobuild/run/instance-1/output-1/targetblock.o CC /home/test/autobuild/run/instance-1/output-1/targetblockjob.o CC /home/test/autobuild/run/instance-1/output-1/targetjob.o CC /home/test/autobuild/run/instance-1/output-1/targetqemu-io-cmds.o CC /home/test/autobuild/run/instance-1/output-1/targetreplication.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/raw-format.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vmdk.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vpc.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vdi.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/cloop.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/bochs.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vvfat.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/dmg.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2-refcount.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2-cluster.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2-snapshot.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2-cache.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qcow2-bitmap.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qed.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qed-l2-cache.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qed-table.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qed-cluster.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qed-check.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vhdx.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vhdx-endian.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/vhdx-log.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/quorum.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/blkdebug.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/blkverify.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/blkreplay.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/parallels.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/blklogwrites.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/block-backend.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/snapshot.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/qapi.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/file-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/null.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/mirror.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/commit.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/io.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/create.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/throttle-groups.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/nvme.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/nbd.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/nbd-client.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/sheepdog.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/accounting.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/dirty-bitmap.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/write-threshold.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/backup.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/replication.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/throttle.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/copy-on-read.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/crypto.o CC /home/test/autobuild/run/instance-1/output-1/targetnbd/server.o CC /home/test/autobuild/run/instance-1/output-1/targetnbd/client.o CC /home/test/autobuild/run/instance-1/output-1/targetnbd/common.o CC /home/test/autobuild/run/instance-1/output-1/targetscsi/pr-manager.o CC /home/test/autobuild/run/instance-1/output-1/targetscsi/pr-manager-helper.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/dmg-bz2.o CC /home/test/autobuild/run/instance-1/output-1/targetblockdev.o CC /home/test/autobuild/run/instance-1/output-1/targetblockdev-nbd.o CC /home/test/autobuild/run/instance-1/output-1/targetbootdevice.o CC /home/test/autobuild/run/instance-1/output-1/targetiothread.o CC /home/test/autobuild/run/instance-1/output-1/targetjob-qmp.o CC /home/test/autobuild/run/instance-1/output-1/targetqdev-monitor.o CC /home/test/autobuild/run/instance-1/output-1/targetdevice-hotplug.o CC /home/test/autobuild/run/instance-1/output-1/targetos-posix.o CC /home/test/autobuild/run/instance-1/output-1/targetbt-host.o CC /home/test/autobuild/run/instance-1/output-1/targetbt-vhci.o CC /home/test/autobuild/run/instance-1/output-1/targetdma-helpers.o CC /home/test/autobuild/run/instance-1/output-1/targetvl.o CC /home/test/autobuild/run/instance-1/output-1/targettpm.o CC /home/test/autobuild/run/instance-1/output-1/targetdevice_tree.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-block-core.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-block.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-char.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-common.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-crypto.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-introspect.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-job.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-migration.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-misc.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-net.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-rocker.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-run-state.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-sockets.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-trace.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-transaction.o CC /home/test/autobuild/run/instance-1/output-1/targetqapi/qapi-commands-ui.o CC /home/test/autobuild/run/instance-1/output-1/targetqmp.o CC /home/test/autobuild/run/instance-1/output-1/targethmp.o CC /home/test/autobuild/run/instance-1/output-1/targetcpus-common.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/audio.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/noaudio.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/wavaudio.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/mixeng.o CC /home/test/autobuild/run/instance-1/output-1/targetaudio/wavcapture.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/rng.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/rng-egd.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/rng-random.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/tpm.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/hostmem.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/hostmem-ram.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/hostmem-file.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/cryptodev.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/cryptodev-builtin.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/cryptodev-vhost.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/cryptodev-vhost-user.o CC /home/test/autobuild/run/instance-1/output-1/targetbackends/hostmem-memfd.o CC /home/test/autobuild/run/instance-1/output-1/targetblock/stream.o CC /home/test/autobuild/run/instance-1/output-1/targetchardev/msmouse.o CC /home/test/autobuild/run/instance-1/output-1/targetchardev/wctablet.o CC /home/test/autobuild/run/instance-1/output-1/targetchardev/testdev.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/alpha.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/arm.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/arm-a64.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/cris.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/hppa.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/i386.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/m68k.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/microblaze.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/mips.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/nanomips.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/nios2.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/moxie.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/ppc.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/riscv.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/s390.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/sh4.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/sparc.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/lm32.o CC /home/test/autobuild/run/instance-1/output-1/targetdisas/xtensa.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/libvixl/vixl/utils.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/libvixl/vixl/compiler-intrinsics.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/libvixl/vixl/a64/instructions-a64.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/libvixl/vixl/a64/decoder-a64.o CXX /home/test/autobuild/run/instance-1/output-1/targetdisas/libvixl/vixl/a64/disasm-a64.o CC /home/test/autobuild/run/instance-1/output-1/targetfsdev/qemu-fsdev-dummy.o CC /home/test/autobuild/run/instance-1/output-1/targetfsdev/qemu-fsdev-opts.o CC /home/test/autobuild/run/instance-1/output-1/targetfsdev/qemu-fsdev-throttle.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/piix4.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/pcihp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/ich9.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/tco.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/cpu_hotplug.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/memory_hotplug.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/cpu.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/nvdimm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/vmgenid.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/acpi_interface.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/bios-linker-loader.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/aml-build.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/ipmi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/acpi-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/acpi/ipmi-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/sb16.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/es1370.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/ac97.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/fmopl.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/adlib.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/gus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/gusemu_hal.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/gusemu_mixer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/cs4231a.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/intel-hda.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/hda-codec.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/pcspk.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/wm8750.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/pl041.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/lm4549.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/cs4231.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/marvell_88w8618.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/milkymist-ac97.o CC /home/test/autobuild/run/instance-1/output-1/targethw/audio/soundhw.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/block.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/cdrom.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/hd-geometry.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/fdc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/m25p80.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/nand.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/pflash_cfi01.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/pflash_cfi02.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/ecc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/onenand.o CC /home/test/autobuild/run/instance-1/output-1/targethw/block/nvme.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/l2cap.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/sdp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/hci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/hid.o CC /home/test/autobuild/run/instance-1/output-1/targethw/bt/hci-csr.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/ipoctal232.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/escc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/nrf51_uart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/parallel.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/parallel-isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/pl011.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/serial.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/serial-isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/serial-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/virtio-console.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/xilinx_uartlite.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/cadence_uart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/cmsdk-apb-uart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/etraxfs_ser.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/debugcon.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/grlib_apbuart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/imx_serial.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/lm32_juart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/lm32_uart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/milkymist-uart.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/sclpconsole.o CC /home/test/autobuild/run/instance-1/output-1/targethw/char/sclpconsole-lm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/qdev.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/qdev-properties.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/reset.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/qdev-fw.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/fw-path-provider.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/irq.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/hotplug.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/nmi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/empty_slot.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/stream.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/ptimer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/sysbus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/machine.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/loader.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/loader-fit.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/qdev-properties-system.o In file included from hw/core/loader-fit.c:20:0: hw/core/loader-fit.c: In function 'load_fit': /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1/include/qemu/osdep.h:274:36: warning: 'kernel_end' may be used uninitialized in this function [-Wmaybe-uninitialized] #define ROUND_UP(n, d) (((n) + (d) - 1) & -(0 ? (n) : (d))) ^ hw/core/loader-fit.c:260:12: note: 'kernel_end' was declared here hwaddr kernel_end; ^~~~~~~~~~ CC /home/test/autobuild/run/instance-1/output-1/targethw/core/register.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/or-irq.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/split-irq.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/platform-bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/generic-loader.o CC /home/test/autobuild/run/instance-1/output-1/targethw/core/null-machine.o CC /home/test/autobuild/run/instance-1/output-1/targethw/cpu/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/edid-generate.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/ramfb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/ramfb-standalone.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/ads7846.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/cirrus_vga.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/cirrus_vga_isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/g364fb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/jazz_led.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/pl110.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/sii9022.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/ssd0303.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/ssd0323.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/vga-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/edid-region.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/vga-isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/vga-isa-mm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/vmware_vga.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/bochs-display.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/blizzard.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/exynos4210_fimd.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/framebuffer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/milkymist-vgafb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/display/tc6393xb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/puv3_dma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/rc4030.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/pl080.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/pl330.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/i82374.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/i8257.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/xilinx_axidma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/xlnx-zynq-devcfg.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/etraxfs_dma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/sparc32_dma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/dma/xlnx-zdma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/max7310.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/pl061.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/puv3_gpio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/zaurus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/mpc8xxx.o CC /home/test/autobuild/run/instance-1/output-1/targethw/gpio/gpio_key.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/smbus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/smbus_eeprom.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/i2c-ddc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/versatile_i2c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/smbus_ich9.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/pm_smbus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/bitbang_i2c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/exynos4210_i2c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/imx_i2c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/i2c/aspeed_i2c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/atapi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/qdev.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/piix.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/cmd646.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/macio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/mmio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/via.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/microdrive.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/ahci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/ich.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/ahci-allwinner.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ide/sii3112.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/adb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/adb-mouse.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/adb-kbd.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/hid.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/lm832x.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/pckbd.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/pl050.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/ps2.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/stellaris_input.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/tsc2005.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/virtio-input.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/virtio-input-hid.o CC /home/test/autobuild/run/instance-1/output-1/targethw/input/virtio-input-host.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/heathrow_pic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/i8259_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/i8259.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/pl190.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/puv3_intc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/xilinx_intc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/xlnx-pmu-iomod-intc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/xlnx-zynqmp-ipi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/etraxfs_pic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/imx_avic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/imx_gpcv2.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/lm32_pic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/realview_gic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/slavio_intctl.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/ioapic_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gic_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv2m.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv3_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv3.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv3_dist.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv3_redist.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/arm_gicv3_its_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/openpic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/intc/intc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipack/ipack.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipack/tpci200.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipmi/ipmi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipmi/ipmi_bmc_sim.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipmi/ipmi_bmc_extern.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipmi/isa_ipmi_kcs.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ipmi/isa_ipmi_bt.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/isa-bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/isa-superio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/apm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/i82378.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/pc87312.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/piix4.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/vt82c686.o CC /home/test/autobuild/run/instance-1/output-1/targethw/isa/smc37c669-superio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/mem/pc-dimm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/mem/memory-device.o CC /home/test/autobuild/run/instance-1/output-1/targethw/mem/nvdimm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/applesmc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/max111x.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/tmp105.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/tmp421.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/debugexit.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/sga.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/pc-testdev.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/pci-testdev.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/edu.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/pca9552.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/unimp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/vmcoreinfo.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/arm_l2x0.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/arm_integrator_debug.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/a9scu.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/arm11scu.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/mos6522.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/puv3_pm.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/macio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/cuda.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/pmu.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/mac_dbdma.o CC /home/test/autobuild/run/instance-1/output-1/targethw/misc/macio/gpio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/dp8393x.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/ne2000.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/eepro100.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/pcnet-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/pcnet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/e1000.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/e1000x_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/net_tx_pkt.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/net_rx_pkt.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/e1000e.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/e1000e_core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rtl8139.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/vmxnet3.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/smc91c111.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/lan9118.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/ne2000-isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/opencores_eth.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/xgmac.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/mipsnet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/xilinx_axienet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/allwinner_emac.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/imx_fec.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/cadence_gem.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/stellaris_enet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/lance.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/sunhme.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/ftgmac100.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/sungem.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rocker/rocker.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rocker/rocker_fp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rocker/rocker_desc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rocker/rocker_world.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/rocker/rocker_of_dpa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/can/can_sja1000.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/can/can_kvaser_pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/can/can_pcm3680_pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/net/can/can_mioe3680_pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/ds1225y.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/eeprom93xx.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/eeprom_at24c.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/fw_cfg.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/chrp_nvram.o CC /home/test/autobuild/run/instance-1/output-1/targethw/nvram/mac_nvram.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/pci_bridge_dev.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/pcie_root_port.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/gen_pcie_root_port.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/pcie_pci_bridge.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/pci_expander_bridge.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/xio3130_upstream.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/xio3130_downstream.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/ioh3420.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/i82801b11.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/dec.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-bridge/simba.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/pam.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/prep.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/grackle.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/uninorth.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/ppce500.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/versatile.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/sabre.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/bonito.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/piix.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/q35.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/gpex.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/xilinx-pcie.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci-host/designware.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pci_bridge.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/msix.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/msi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/shpc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/slotid_cap.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pci_host.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pcie_host.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pcie.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pcie_aer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pcie_port.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pci/pci-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/pcmcia/pcmcia.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/scsi-disk.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/emulation.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/scsi-generic.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/scsi-bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/lsi53c895a.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/mptsas.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/mptconfig.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/mptendian.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/megasas.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/vmw_pvscsi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/esp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/scsi/esp-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/pl181.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/ssi-sd.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/sd.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/sdmmc-internal.o CC /home/test/autobuild/run/instance-1/output-1/targethw/sd/sdhci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/smbios/smbios.o CC /home/test/autobuild/run/instance-1/output-1/targethw/smbios/smbios_type_38.o CC /home/test/autobuild/run/instance-1/output-1/targethw/smbios/smbios-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/smbios/smbios_type_38-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/pl022.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/ssi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/xilinx_spi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/xilinx_spips.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/aspeed_smc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/stm32f2xx_spi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/ssi/mss-spi.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/arm_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/arm_mptimer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/armv7m_systick.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/a9gtimer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/cadence_ttc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/ds1338.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/hpet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/i8254_common.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/i8254.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/m41t80.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/m48t59.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/m48t59-isa.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/pl031.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/puv3_ost.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/twl92230.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/xilinx_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/slavio_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/etraxfs_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/grlib_gptimer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/imx_epit.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/imx_gpt.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/lm32_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/milkymist-sysctl.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/xlnx-zynqmp-rtc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/stm32f2xx_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/aspeed_timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/sun4v-rtc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/cmsdk-apb-timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/cmsdk-apb-dualtimer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/timer/mss-timer.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/tpm_util.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/tpm_tis.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/tpm_crb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/tpm_passthrough.o CC /home/test/autobuild/run/instance-1/output-1/targethw/tpm/tpm_emulator.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/core.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/combined-packet.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/libhw.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/desc.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/desc-msos.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-uhci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-ohci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-ehci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-ehci-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-ehci-sysbus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-xhci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-xhci-nec.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/hcd-musb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-hub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-hid.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-wacom.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-storage.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-uas.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-audio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-serial.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-network.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-bluetooth.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-smartcard-reader.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/dev-mtp.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/host-libusb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/usb/host-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/virtio-bus.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/virtio-rng.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/virtio-pci.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/virtio-mmio.o CC /home/test/autobuild/run/instance-1/output-1/targethw/virtio/vhost-stub.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/watchdog.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/cmsdk-apb-watchdog.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/wdt_i6300esb.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/wdt_ib700.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/wdt_diag288.o CC /home/test/autobuild/run/instance-1/output-1/targethw/watchdog/wdt_aspeed.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/migration.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/socket.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/fd.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/exec.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/tls.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/channel.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/savevm.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/colo.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/colo-failover.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/vmstate.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/vmstate-types.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/page_cache.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/qemu-file.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/global_state.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/qemu-file-channel.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/xbzrle.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/postcopy-ram.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/qjson.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/block-dirty-bitmap.o CC /home/test/autobuild/run/instance-1/output-1/targetmigration/block.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/net.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/queue.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/checksum.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/util.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/hub.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/socket.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/dump.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/eth.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/l2tpv3.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/vhost-user.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/filter.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/filter-buffer.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/filter-mirror.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/colo-compare.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/colo.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/filter-rewriter.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/filter-replay.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/tap.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/tap-linux.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/can/can_core.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/can/can_host.o CC /home/test/autobuild/run/instance-1/output-1/targetnet/can/can_socketcan.o CC /home/test/autobuild/run/instance-1/output-1/targetqom/cpu.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-internal.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-events.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-time.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-input.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-char.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-snapshot.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-net.o CC /home/test/autobuild/run/instance-1/output-1/targetreplay/replay-audio.o CC /home/test/autobuild/run/instance-1/output-1/targetui/keymaps.o CC /home/test/autobuild/run/instance-1/output-1/targetui/console.o CC /home/test/autobuild/run/instance-1/output-1/targetui/cursor.o CC /home/test/autobuild/run/instance-1/output-1/targetui/qemu-pixman.o CC /home/test/autobuild/run/instance-1/output-1/targetui/input.o CC /home/test/autobuild/run/instance-1/output-1/targetui/input-keymap.o CC /home/test/autobuild/run/instance-1/output-1/targetui/input-legacy.o CC /home/test/autobuild/run/instance-1/output-1/targetui/input-linux.o CC /home/test/autobuild/run/instance-1/output-1/targetui/vnc-stubs.o CC /home/test/autobuild/run/instance-1/output-1/targetui/sdl2.o CC /home/test/autobuild/run/instance-1/output-1/targetui/sdl2-input.o CC /home/test/autobuild/run/instance-1/output-1/targetui/sdl2-2d.o In file included from /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1/include/ui/sdl2.h:8:0, from ui/sdl2.c:30: /home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include/SDL2/SDL_syswm.h:77:22: fatal error: directfb.h: No such file or directory #include ^ compilation terminated. make[1]: *** [ui/sdl2.o] Error 1 make[1]: *** Waiting for unfinished jobs.... CC /home/test/autobuild/run/instance-1/output-1/targetchardev/char.o In file included from /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1/include/ui/sdl2.h:8:0, from ui/sdl2-input.c:30: /home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include/SDL2/SDL_syswm.h:77:22: fatal error: directfb.h: No such file or directory #include ^ compilation terminated. make[1]: *** [ui/sdl2-input.o] Error 1 In file included from /home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1/include/ui/sdl2.h:8:0, from ui/sdl2-2d.c:30: /home/test/autobuild/run/instance-1/output-1/host/bin/../x86_64-buildroot-linux-gnu/sysroot/usr/include/SDL2/SDL_syswm.h:77:22: fatal error: directfb.h: No such file or directory #include ^ compilation terminated. make[1]: *** [ui/sdl2-2d.o] Error 1 make[1]: Leaving directory `/home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1' make: *** [/home/test/autobuild/run/instance-1/output-1/build/qemu-3.1.1/.stamp_built] Error 2 make: Leaving directory `/home/test/autobuild/run/instance-1/buildroot'