/usr/bin/install -c -m 644 xzdiff.1 xzgrep.1 xzmore.1 xzless.1 '/home/autobuild/autobuild/instance-13/output-1/host/share/man/man1' /usr/bin/make install-data-hook make[5]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src/scripts' languages= ; \ if test "no" = yes && test -d "../../po4a/man"; then \ languages=`ls "../../po4a/man"`; \ fi; \ for lang in $languages; do \ mans= ; \ for man in xzdiff.1 xzgrep.1 xzmore.1 xzless.1; do \ man="../../po4a/man/$lang/$man" ; \ if test -f "$man"; then \ mans="$mans $man"; \ fi; \ done; \ /usr/bin/make dist_man_MANS="$mans" man_MANS= \ mandir="/home/autobuild/autobuild/instance-13/output-1/host/share/man/$lang" install-man; \ done; \ for lang in . $languages; do \ for pair in xzdiff-xzcmp xzgrep-xzegrep xzgrep-xzfgrep xzdiff-lzdiff xzdiff-lzcmp xzgrep-lzgrep xzgrep-lzegrep xzgrep-lzfgrep xzmore-lzmore xzless-lzless; do \ target=`echo $pair | sed 's/-.*$//' \ | sed 's,x,x,'` && \ link=`echo $pair | sed 's/^.*-//' \ | sed 's,x,x,'` && \ man1dir="/home/autobuild/autobuild/instance-13/output-1/host/share/man/$lang/man1" && \ if test -f "$man1dir/$target.1"; then ( \ cd "$man1dir" && \ rm -f "$link.1" && \ ln -s "$target.1" "$link.1" \ ); fi; \ done; \ done make[5]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src/scripts' make[4]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src/scripts' make[3]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src/scripts' make[3]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src' make[4]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src' make[4]: Nothing to be done for 'install-exec-am'. make[4]: Nothing to be done for 'install-data-am'. make[4]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src' make[3]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src' make[2]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/src' Making install in po make[2]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/po' Makefile:169: warning: ignoring prerequisites on suffix rule definition if test "xz" = "gettext-tools"; then \ /usr/bin/mkdir -p /home/autobuild/autobuild/instance-13/output-1/host/share/gettext/po; \ for file in Makefile.in.in remove-potcdate.sin quot.sed boldquot.sed en@quot.header en@boldquot.header insert-header.sin Rules-quot Makevars.template; do \ /usr/bin/install -c -m 644 ./$file \ /home/autobuild/autobuild/instance-13/output-1/host/share/gettext/po/$file; \ done; \ for file in Makevars; do \ rm -f /home/autobuild/autobuild/instance-13/output-1/host/share/gettext/po/$file; \ done; \ else \ : ; \ fi make[2]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/po' Making install in tests make[2]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/tests' make[3]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/tests' make[3]: Nothing to be done for 'install-exec-am'. make[3]: Nothing to be done for 'install-data-am'. make[3]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/tests' make[2]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5/tests' make[2]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5' make[3]: Entering directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5' make[3]: Nothing to be done for 'install-exec-am'. make[3]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5' make[2]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5' make[1]: Leaving directory '/home/autobuild/autobuild/instance-13/output-1/build/host-xz-5.2.5' >>> Finalizing host directory >>> Finalizing target directory mkdir -p /home/autobuild/autobuild/instance-13/output-1/host/etc/meson sed -e "s%@TARGET_CFLAGS@%'-D_LARGEFILE_SOURCE', '-D_LARGEFILE64_SOURCE', '-D_FILE_OFFSET_BITS=64', '-mlongcalls', '-mauto-litpools', '-Os', '-g0', '-static'@PKG_TARGET_CFLAGS@%g" -e "s%@TARGET_LDFLAGS@%'-static'@PKG_TARGET_LDFLAGS@%g" -e "s%@TARGET_CXXFLAGS@%'-D_LARGEFILE_SOURCE', '-D_LARGEFILE64_SOURCE', '-D_FILE_OFFSET_BITS=64', '-mlongcalls', '-mauto-litpools', '-Os', '-g0', '-static', '-static'@PKG_TARGET_CXXFLAGS@%g" -e "s%@TARGET_CC@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-gcc%g" -e "s%@TARGET_CXX@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-g++%g" -e "s%@TARGET_AR@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-gcc-ar%g" -e "s%@TARGET_STRIP@%/bin/true%g" -e "s%@TARGET_ARCH@%xtensa%g" -e "s%@TARGET_CPU@%%g" -e "s%@TARGET_ENDIAN@%little%g" -e "s%@TARGET_CFLAGS@%%g" -e "s%@TARGET_LDFLAGS@%%g" -e "s%@TARGET_CXXFLAGS@%%g" -e "s%@BR2_CMAKE@%/usr/bin/cmake%g" -e "s%@PKGCONF_HOST_BINARY@%/home/autobuild/autobuild/instance-13/output-1/host/bin/pkgconf%g" -e "s%@STAGING_DIR@%/home/autobuild/autobuild/instance-13/output-1/host/xtensa-buildroot-linux-uclibc/sysroot%g" -e "s%@STATIC@%true%g" /home/autobuild/autobuild/instance-13/buildroot/support/misc/cross-compilation.conf.in > /home/autobuild/autobuild/instance-13/output-1/host/etc/meson/cross-compilation.conf.in sed -e "s%@TARGET_CC@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-gcc%g" -e "s%@TARGET_CXX@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-g++%g" -e "s%@TARGET_AR@%/home/autobuild/autobuild/instance-13/output-1/host/bin/xtensa-buildroot-linux-uclibc-gcc-ar%g" -e "s%@TARGET_STRIP@%/bin/true%g" -e "s%@TARGET_ARCH@%xtensa%g" -e "s%@TARGET_CPU@%%g" -e "s%@TARGET_ENDIAN@%little%g" -e "s%@TARGET_CFLAGS@%'-D_LARGEFILE_SOURCE', '-D_LARGEFILE64_SOURCE', '-D_FILE_OFFSET_BITS=64', '-mlongcalls', '-mauto-litpools', '-Os', '-g0', '-static'%g" -e "s%@TARGET_LDFLAGS@%'-static'%g" -e "s%@TARGET_CXXFLAGS@%'-D_LARGEFILE_SOURCE', '-D_LARGEFILE64_SOURCE', '-D_FILE_OFFSET_BITS=64', '-mlongcalls', '-mauto-litpools', '-Os', '-g0', '-static', '-static'%g" -e "s%@BR2_CMAKE@%/usr/bin/cmake%g" -e "s%@PKGCONF_HOST_BINARY@%/home/autobuild/autobuild/instance-13/output-1/host/bin/pkgconf%g" -e "s%@STAGING_DIR@%/home/autobuild/autobuild/instance-13/output-1/host/xtensa-buildroot-linux-uclibc/sysroot%g" -e "s%@STATIC@%true%g" /home/autobuild/autobuild/instance-13/buildroot/support/misc/cross-compilation.conf.in > /home/autobuild/autobuild/instance-13/output-1/host/etc/meson/cross-compilation.conf /usr/bin/sed -i -e '/# GENERIC_SERIAL$/s~^.*#~console::respawn:/sbin/getty -L console 0 vt100 #~' /home/autobuild/autobuild/instance-13/output-1/target/etc/inittab /usr/bin/sed -i -e '/^#.*-o remount,rw \/$/s~^#\+~~' /home/autobuild/autobuild/instance-13/output-1/target/etc/inittab if grep -q CONFIG_ASH=y /home/autobuild/autobuild/instance-13/output-1/build/busybox-1.35.0/.config; then grep -qsE '^/bin/ash$' /home/autobuild/autobuild/instance-13/output-1/target/etc/shells || echo "/bin/ash" >> /home/autobuild/autobuild/instance-13/output-1/target/etc/shells; fi if grep -q CONFIG_HUSH=y /home/autobuild/autobuild/instance-13/output-1/build/busybox-1.35.0/.config; then grep -qsE '^/bin/hush$' /home/autobuild/autobuild/instance-13/output-1/target/etc/shells || echo "/bin/hush" >> /home/autobuild/autobuild/instance-13/output-1/target/etc/shells; fi rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/perl5/5.34.1/pod rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/perl5/5.34.1/xtensa-linux/CORE find /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/perl5/ -name 'extralibs.ld' -print0 | xargs -0 rm -f find /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/perl5/ -name '*.bs' -print0 | xargs -0 rm -f find /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/perl5/ -name '.packlist' -print0 | xargs -0 rm -f mkdir -p /home/autobuild/autobuild/instance-13/output-1/target/etc echo "buildroot" > /home/autobuild/autobuild/instance-13/output-1/target/etc/hostname /usr/bin/sed -i -e '$a \127.0.1.1\tbuildroot' -e '/^127.0.1.1/d' /home/autobuild/autobuild/instance-13/output-1/target/etc/hosts mkdir -p /home/autobuild/autobuild/instance-13/output-1/target/etc echo "Welcome to Buildroot" > /home/autobuild/autobuild/instance-13/output-1/target/etc/issue /usr/bin/sed -i -e s,^root:[^:]*:,root:"*":, /home/autobuild/autobuild/instance-13/output-1/target/etc/shadow grep -qsE '^/bin/sh$' /home/autobuild/autobuild/instance-13/output-1/target/etc/shells || echo "/bin/sh" >> /home/autobuild/autobuild/instance-13/output-1/target/etc/shells if [ -x /home/autobuild/autobuild/instance-13/output-1/target/sbin/swapon -a -x /home/autobuild/autobuild/instance-13/output-1/target/sbin/swapoff ]; then /usr/bin/sed -i -e '/^#.*\/sbin\/swap/s/^#\+[[:blank:]]*//' /home/autobuild/autobuild/instance-13/output-1/target/etc/inittab; else /usr/bin/sed -i -e '/^[^#].*\/sbin\/swap/s/^/#/' /home/autobuild/autobuild/instance-13/output-1/target/etc/inittab; fi ./support/scripts/eclipse-register-toolchain `readlink -f /home/autobuild/autobuild/instance-13/output-1` xtensa-buildroot-linux-uclibc- "xtensa" Testing /home/autobuild/autobuild/instance-10/output-1 ... Testing /home/autobuild/autobuild/instance-5/output-1 ... Testing /home/autobuild/autobuild/instance-11/output-1 ... Testing /home/autobuild/autobuild/instance-0/output-1 ... Testing /home/autobuild/autobuild/instance-6/output-1 ... Testing /home/autobuild/autobuild/instance-9/output-1 ... Testing /home/autobuild/autobuild/instance-15/output-1 ... Testing /home/autobuild/autobuild/instance-2/output-1 ... Testing /home/autobuild/autobuild/instance-4/output-1 ... Testing /home/autobuild/autobuild/instance-12/output-1 ... Testing /home/autobuild/autobuild/instance-8/output-1 ... Testing /home/autobuild/autobuild/instance-13/output-1 ... Testing /home/autobuild/autobuild/instance-14/output-1 ... rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/include /home/autobuild/autobuild/instance-13/output-1/target/usr/share/aclocal \ /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/pkgconfig /home/autobuild/autobuild/instance-13/output-1/target/usr/share/pkgconfig \ /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/cmake /home/autobuild/autobuild/instance-13/output-1/target/usr/share/cmake \ /home/autobuild/autobuild/instance-13/output-1/target/usr/doc find /home/autobuild/autobuild/instance-13/output-1/target/usr/{lib,share}/ -name '*.cmake' -print0 | xargs -0 rm -f find /home/autobuild/autobuild/instance-13/output-1/target/lib/ /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/ /home/autobuild/autobuild/instance-13/output-1/target/usr/libexec/ \ \( -name '*.a' -o -name '*.la' -o -name '*.prl' \) -print0 | xargs -0 rm -f rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/share/gdb rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/share/bash-completion rm -rf /home/autobuild/autobuild/instance-13/output-1/target/etc/bash_completion.d rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/share/zsh rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/man /home/autobuild/autobuild/instance-13/output-1/target/usr/share/man rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/info /home/autobuild/autobuild/instance-13/output-1/target/usr/share/info rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/doc /home/autobuild/autobuild/instance-13/output-1/target/usr/share/doc rm -rf /home/autobuild/autobuild/instance-13/output-1/target/usr/share/gtk-doc rmdir /home/autobuild/autobuild/instance-13/output-1/target/usr/share 2>/dev/null || true rm -rf /home/autobuild/autobuild/instance-13/output-1/target/lib/debug /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/debug find /home/autobuild/autobuild/instance-13/output-1/target -type f \( -perm /111 -o -name '*.so*' \) -not \( -name 'libpthread*.so*' -o -name 'ld-*.so*' -o -name '*.ko' \) -print0 | xargs -0 /bin/true 2>/dev/null || true find /home/autobuild/autobuild/instance-13/output-1/target \( -name 'ld-*.so*' -o -name 'libpthread*.so*' \) -print0 | xargs -0 -r /bin/true 2>/dev/null || true test -f /home/autobuild/autobuild/instance-13/output-1/target/etc/ld.so.conf && \ { echo "ERROR: we shouldn't have a /etc/ld.so.conf file"; exit 1; } || true test -d /home/autobuild/autobuild/instance-13/output-1/target/etc/ld.so.conf.d && \ { echo "ERROR: we shouldn't have a /etc/ld.so.conf.d directory"; exit 1; } || true mkdir -p /home/autobuild/autobuild/instance-13/output-1/target/etc ( \ echo "NAME=Buildroot"; \ echo "VERSION=2022.02-441-g8f3cfe4196"; \ echo "ID=buildroot"; \ echo "VERSION_ID=2022.05-git"; \ echo "PRETTY_NAME=\"Buildroot 2022.05-git\"" \ ) > /home/autobuild/autobuild/instance-13/output-1/target/usr/lib/os-release ln -sf ../usr/lib/os-release /home/autobuild/autobuild/instance-13/output-1/target/etc >>> Sanitizing RPATH in target tree PER_PACKAGE_DIR=/home/autobuild/autobuild/instance-13/output-1/per-package /home/autobuild/autobuild/instance-13/buildroot/support/scripts/fix-rpath target touch /home/autobuild/autobuild/instance-13/output-1/target/usr >>> Generating root filesystems common tables rm -rf /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs mkdir -p /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs printf ' \n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_users_table.txt printf ' /bin/busybox f 4755 0 0 - - - - -\n \n \n \n\n' > /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt cat system/device_table.txt >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt >>> Generating filesystem image rootfs.cloop mkdir -p /home/autobuild/autobuild/instance-13/output-1/images rm -rf /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop mkdir -p /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-13/output-1/target/ /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-13/output-1/host/bin:/home/autobuild/autobuild/instance-13/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-13/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot echo "/home/autobuild/autobuild/instance-13/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot echo "find /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot printf ' /home/autobuild/autobuild/instance-13/output-1/host/bin/genisoimage -r /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target | /home/autobuild/autobuild/instance-13/output-1/host/bin/create_compressed_fs - 65536 > /home/autobuild/autobuild/instance-13/output-1/images/rootfs.cloop\n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot chmod a+x /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot PATH="/home/autobuild/autobuild/instance-13/output-1/host/bin:/home/autobuild/autobuild/instance-13/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-13/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/fakeroot rootdir=/home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target table='/home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt' 8 processor core(s) detected Warning, number as file string found. Assuming old command syntax and choosing compatible parameters (-m -B 65536). See the usage info (-h) for better/correct parameters. Block size 65536, expected number of blocks: 2147483647 Using UTIL000 for ./rr_moved/Util (Util) Using UTIL001 for ./rr_moved/Util (Util) Using PINST000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/site_perl/5.34.1/Mojolicious/resources/public/mojo/pinstripe-dark.png (pinstripe-light.png) Using LOGO_000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/site_perl/5.34.1/Mojolicious/resources/public/mojo/logo-white.png (logo-white-2x.png) Using OVERL000.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/overload.pm (overloading.pm) Using ITERA000.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/TAP/Parser/IteratorFactory.pm (Iterator.pm) Using PULLP000.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/PullParserEndToken.pm (PullParserStartToken.pm) Using TRANS000.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/TranscodeDumb.pm (TranscodeSmart.pm) Using PULLP001.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/PullParserStartToken.pm (PullParser.pm) Using PULLP002.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/PullParser.pm (PullParserTextToken.pm) Using TRANS001.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/TranscodeSmart.pm (Transcode.pm) Using PULLP003.PM;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/lib/perl5/5.34.1/Pod/Simple/PullParserTextToken.pm (PullParserToken.pm) Using TRANS000.;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/bin/transmission-remote (transmission-edit) Using TRANS001.;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/bin/transmission-edit (transmission-create) Using TRANS002.;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/bin/transmission-create (transmission-show) Using MICRO000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_same54_xplained_pro.cfg (microchip_explorer16.cfg) Using ST_NU000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f0.cfg (st_nucleo_h743zi.cfg) Using ST_NU001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h743zi.cfg (st_nucleo_l4.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_salvator-xs.cfg (renesas_silk.cfg) Using TI_BE000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone-base.cfg (ti_beaglebone.cfg) Using ST_NU002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l4.cfg (st_nucleo_l1.cfg) Using TI_BE001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beaglebone.cfg (ti_beagleboard.cfg) Using ST_NU003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l1.cfg (st_nucleo_l5.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxeb500hmi.cfg (hilscher_nxdb500sys.cfg) Using ATMEL000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3x_ek.cfg (atmel_samd20_xplained_pro.cfg) Using ST_NU004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l5.cfg (st_nucleo_l073rz.cfg) Using ATMEL001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd20_xplained_pro.cfg (atmel_sam4s_xplained_pro.cfg) Using ST_NU005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_l073rz.cfg (st_nucleo_f7.cfg) Using TI_EK000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_ek-tm4c123gxl.cfg (ti_ek-tm4c1294xl.cfg) Using SNPS_000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.2.cfg (snps_em_sk_v1.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm320518_eval_stlink.cfg (stm320518_eval.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_silk.cfg (renesas_gr_peach.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9261-ek.cfg (at91sam9263-ek.cfg) Using STM32001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f469i-disco.cfg (stm32f469discovery.cfg) Using ATMEL002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_xplained_pro.cfg (atmel_samv71_xplained_ultra.cfg) Using ATMEL003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samv71_xplained_ultra.cfg (atmel_samg53_xplained_pro.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_LPC2378STK.cfg (olimex_lpc_h2148.cfg) Using ATMEL004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg53_xplained_pro.cfg (atmel_samr21_xplained_pro.cfg) Using ATMEL005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samr21_xplained_pro.cfg (atmel_same70_xplained.cfg) Using ATMEL006.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_same70_xplained.cfg (atmel_sam3u_ek.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91sam9263-ek.cfg (at91sam9g20-ek.cfg) Using ATMEL007.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3u_ek.cfg (atmel_sam4e_ek.cfg) Using NORDI000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51_dk.cfg (nordic_nrf52_ftx232.cfg) Using SPEAR000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear310evb20.cfg (spear310evb20_mod.cfg) Using ST_NU006.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f7.cfg (st_nucleo_wb55.cfg) Using TI_BE002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard.cfg (ti_beagleboard_xm.cfg) Using ST_NU007.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_wb55.cfg (st_nucleo_f3.cfg) Using SNPS_001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v1.cfg (snps_em_sk_v2.1.cfg) Using LINKS000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys-wrt54gl.cfg (linksys_nslu2.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxdb500sys.cfg (hilscher_nxhx500.cfg) Using SPANS000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spansion_sk-fm4-176l-s6e2cc.cfg (spansion_sk-fm4-u120-9b560.cfg) Using ST_NU008.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f3.cfg (st_nucleo_f103rb.cfg) Using ATMEL008.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4e_ek.cfg (atmel_samd11_xplained_pro.cfg) Using SNPS_002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/snps_em_sk_v2.1.cfg (snps_em_sk.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx500.cfg (hilscher_nxhx50.cfg) Using KEIL_000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/keil_mcb1700.cfg (keil_mcb2140.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc26x2_launchpad.cfg (ti_cc26x0_launchpad.cfg) Using NORDI001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf52_ftx232.cfg (nordic_nrf51822_mkit.cfg) Using EMCRA000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_imx8m-som-bsb.cfg (emcraft_twr-vf6-som-bsb.cfg) Using EK_LM000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s8962.cfg (ek-lm3s811.cfg) Using SIFIV000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-e51arty.cfg (sifive-e31arty.cfg) Using NORDI002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nordic_nrf51822_mkit.cfg (nordic_nrf52_dk.cfg) Using LINKS001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/linksys_nslu2.cfg (linksys-wag200g.cfg) Using EK_TM000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-tm4c123gxl.cfg (ek-tm4c1294xl.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_cc13x0_launchpad.cfg (ti_cc13x2_launchpad.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_gr_peach.cfg (renesas_stout.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h107.cfg (olimex_sam7_la2.cfg) Using ATMEL009.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd11_xplained_pro.cfg (atmel_samg55_xplained_pro.cfg) Using ATMEL00A.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samg55_xplained_pro.cfg (atmel_samc21_xplained_pro.cfg) Using STM32002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h7x3i_eval.cfg (stm32h7x_dual_qspi.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_tmdx570ls31usb.cfg (ti_tmdx570ls20susb.cfg) Using MBED_000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/mbed-lpc1768.cfg (mbed-lpc11u24.cfg) Using AT91R000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/at91rm9200-dk.cfg (at91rm9200-ek.cfg) Using TI_BE003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_beagleboard_xm.cfg (ti_beaglebone_black.cfg) Using MICRO001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/microchip_explorer16.cfg (microchip_saml11_xplained_pro.cfg) Using ATMEL00B.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc21_xplained_pro.cfg (atmel_samd10_xplained_mini.cfg) Using SIFIV001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/sifive-hifive1-revb.cfg (sifive-hifive1.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam7_la2.cfg (olimex_sam9_l9260.cfg) Using STEVA000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb011v1.cfg (steval-idb007v1.cfg) Using SPEAR001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear300evb_mod.cfg (spear300evb.cfg) Using EMCRA001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/emcraft_twr-vf6-som-bsb.cfg (emcraft_vf6-som.cfg) Using ATMEL00C.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd10_xplained_mini.cfg (atmel_sam3s_ek.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx50.cfg (hilscher_nxhx10.cfg) Using ASUS_000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/asus-rt-n16.cfg (asus-rt-n66u.cfg) Using STM32003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3220g_eval.cfg (stm3220g_eval_stlink.cfg) Using TI_PA000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ti_pandaboard_es.cfg (ti_pandaboard.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_atlys.cfg (digilent_analog_discovery.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_stout.cfg (renesas_porter.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/digilent_analog_discovery.cfg (digilent_zedboard.cfg) Using DIOLA000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/diolan_lpc4357-db1.cfg (diolan_lpc4350-db1.cfg) Using OLIME003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_sam9_l9260.cfg (olimex_stm32_h103.cfg) Using ATMEL00D.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9260-ek.cfg (atmel_at91sam9rl-ek.cfg) Using XMC45000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-general.cfg (xmc4500-application-kit-sdram.cfg) Using ATMEL00E.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam3s_ek.cfg (atmel_samd21_xplained_pro.cfg) Using HILSC004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hilscher_nxhx10.cfg (hilscher_nxsb100.cfg) Using TWR_V000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/twr-vf65gs10_cmsisdap.cfg (twr-vf65gs10.cfg) Using ST_NU009.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f103rb.cfg (st_nucleo_f4.cfg) Using TP_LI000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/tp-link_tl-mr3020.cfg (tp-link_wdr4300.cfg) Using ATMEL00F.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samd21_xplained_pro.cfg (atmel_sam4l8_xplained_pro.cfg) Using RENES004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/renesas_porter.cfg (renesas_dk-s7g2.cfg) Using OLIME004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_h103.cfg (olimex_stm32_p107.cfg) Using ST_NU00A.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_f4.cfg (st_nucleo_h745zi.cfg) Using STM32004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f429disc1.cfg (stm32f429discovery.cfg) Using ATMEL00G.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4l8_xplained_pro.cfg (atmel_saml21_xplained_pro.cfg) Using ATMEL00H.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_at91sam9rl-ek.cfg (atmel_at91sam7s-ek.cfg) Using ATMEL00I.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_saml21_xplained_pro.cfg (atmel_samc20_xplained_pro.cfg) Using NETGE000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/netgear-wg102.cfg (netgear-dg834v3.cfg) Using STM32005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32429i_eval.cfg (stm32429i_eval_stlink.cfg) Using ATMEL00J.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_samc20_xplained_pro.cfg (atmel_sam4s_ek.cfg) Using XMC45001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/xmc4500-application-kit-sdram.cfg (xmc4500-relax.cfg) Using ATMEL00K.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/atmel_sam4s_ek.cfg (atmel_sam3n_ek.cfg) Using MARSO000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod.cfg (marsohod3.cfg) Using HITEX000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_lpc1768stick.cfg (hitex_lpc2929.cfg) Using NDS32000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/nds32_xc7.cfg (nds32_xc5.cfg) Using EK_LM001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s811.cfg (ek-lm3s811-revb.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/dp_busblaster_v3.cfg (dp_busblaster_v4.cfg) Using HITEX001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/hitex_str9-comstick.cfg (hitex_stm32-performancestick.cfg) Using MARSO001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/marsohod3.cfg (marsohod2.cfg) Using STM32006.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32h747i-disco.cfg (stm32h745i-disco.cfg) Using STM32007.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32439i_eval.cfg (stm32439i_eval_stlink.cfg) Using STM32008.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm32f412g-disco.cfg (stm32f413h-disco.cfg) Using SPEAR002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/spear320cpu_mod.cfg (spear320cpu.cfg) Using EK_LM002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/ek-lm3s9b9x.cfg (ek-lm3s9d92.cfg) Using ST_NU00B.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/st_nucleo_h745zi.cfg (st_nucleo_8l152r8.cfg) Using STM32009.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/stm3241g_eval_stlink.cfg (stm3241g_eval.cfg) Using OLIME005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/olimex_stm32_p107.cfg (olimex_sam7_ex256.cfg) Using STEVA001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/board/steval-idb007v1.cfg (steval-idb008v1.cfg) Using XILIN000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-s.cfg (xilinx-xc7.cfg) Using XILIN001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xc7.cfg (xilinx-xcu.cfg) Using XILIN002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcu.cfg (xilinx-xcf-p.cfg) Using XILIN003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcf-p.cfg (xilinx-xcr3256.cfg) Using XILIN004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/cpld/xilinx-xcr3256.cfg (xilinx-xc6s.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263.cfg (at91sam9261.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261.cfg (at91sam9_sdramc.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_sdramc.cfg (at91sam9261_matrix.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9261_matrix.cfg (at91sam9_init.cfg) Using AT91S000.TCL;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam7x256.tcl (at91sam7x128.tcl) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9_init.cfg (at91sam9263_matrix.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/atmel/at91/at91sam9263_matrix.cfg (at91sam9_smc.cfg) Using SPEAR000.TCL;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/chip/st/spear/spear3xx.tcl (spear3xx_ddr.tcl) Using STLIN000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2-1.cfg (stlink-v2.cfg) Using STLIN001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/stlink-v2.cfg (stlink-v1.cfg) Using RASPB000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/raspberrypi-native.cfg (raspberrypi2-native.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/altera-usb-blaster2.cfg (altera-usb-blaster.cfg) Using JTAGK000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/jtagkey2p.cfg (jtagkey2.cfg) Using FLYSW000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flyswatter.cfg (flyswatter2.cfg) Using DIGIL000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2.cfg (digilent_jtag_hs3.cfg) Using DIGIL001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_hs3.cfg (digilent-hs2.cfg) Using OLIME000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-jtag-swd.cfg (olimex-arm-usb-ocd.cfg) Using OPENO000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/openocd-usb-hs.cfg (openocd-usb.cfg) Using SIGNA000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/signalyzer-lite.cfg (signalyzer.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_etm.cfg (hilscher_nxhx500_re.cfg) Using CALAO000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/calao-usb-a9260-c02.cfg (calao-usb-a9260-c01.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_re.cfg (hilscher_nxhx50_re.cfg) Using DP_BU000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg (dp_busblaster.cfg) Using TURTE000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/turtelizer2-revC.cfg (turtelizer2-revB.cfg) Using DIGIL002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent-hs2.cfg (digilent_jtag_smt2_nc.cfg) Using LUMIN000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-lm3s811.cfg (luminary-icdi.cfg) Using HILSC002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_re.cfg (hilscher_nxhx50_etm.cfg) Using DIGIL003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2_nc.cfg (digilent-hs1.cfg) Using MINIM000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/minimodule.cfg (minimodule-swd.cfg) Using OLIME001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd.cfg (olimex-arm-usb-tiny-h.cfg) Using FLOSS000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/flossjtag.cfg (flossjtag-noeeprom.cfg) Using LUMIN001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/luminary-icdi.cfg (luminary.cfg) Using OLIME002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/olimex-arm-usb-tiny-h.cfg (olimex-arm-usb-ocd-h.cfg) Using HILSC003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_etm.cfg (hilscher_nxhx10_etm.cfg) Using HILSC000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx50.cfg (hilscher_netx10.cfg) Using AT91S000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g10.cfg (at91sam9.cfg) Using AT91S001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3uxx.cfg (at91sam3ax_8x.cfg) Using AT91S002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_8x.cfg (at91sam3sXX.cfg) Using RENES000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_reset_common.cfg (renesas_rcar_gen2.cfg) Using AT91S003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9.cfg (at91sam9263.cfg) Using AT91S004.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9263.cfg (at91sam9261.cfg) Using AT91S005.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3sXX.cfg (at91sam3ax_xx.cfg) Using AT91S006.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9261.cfg (at91sam9rl.cfg) Using SAMSU000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2410.cfg (samsung_s3c6410.cfg) Using AT91S007.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_xx.cfg (at91sam3u1e.cfg) Using TI_CC000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc13x0.cfg (ti_cc13x2.cfg) Using RENES001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen2.cfg (renesas_rcar_gen3.cfg) Using AT91S008.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u1e.cfg (at91sam3u2c.cfg) Using RENES002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_rcar_gen3.cfg (renesas_s7g2.cfg) Using STM32000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/stm32h7x_dual_bank.cfg (stm32h7x.cfg) Using RENES003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/renesas_s7g2.cfg (renesas_r7s72100.cfg) Using AT91S009.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9rl.cfg (at91sam9g20.cfg) Using SAMSU001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c6410.cfg (samsung_s3c2440.cfg) Using AT91S00A.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g20.cfg (at91sam9g45.cfg) Using TI_TM000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570ls3137.cfg (ti_tms570.cfg) Using SAMSU002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2440.cfg (samsung_s3c2450.cfg) Using AT91S00B.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4lXX.cfg (at91sam4cXXX.cfg) Using AT91S00C.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2c.cfg (at91sam3ax_4x.cfg) Using AT91S00D.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3ax_4x.cfg (at91sam3u4e.cfg) Using AT91S00E.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4e.cfg (at91sam3u4c.cfg) Using AT91S00F.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7a2.cfg (at91sam7sx.cfg) Using ATHER000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2313.cfg (atheros_ar2315.cfg) Using AT91S00G.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4cXXX.cfg (at91sam4c32x.cfg) Using AT91S00H.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7sx.cfg (at91sam7x256.cfg) Using AT91S00I.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7x256.cfg (at91sam7se512.cfg) Using AT91S00J.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u4c.cfg (at91sam3nXX.cfg) Using AT91S00K.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam7se512.cfg (at91sam7x512.cfg) Using TI_TM001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_tms570.cfg (ti_tms570ls20xxx.cfg) Using ATHER001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar2315.cfg (atheros_ar9344.cfg) Using AT91S00L.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3nXX.cfg (at91sam3XXX.cfg) Using HILSC001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/hilscher_netx10.cfg (hilscher_netx500.cfg) Using AT91S00M.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3XXX.cfg (at91sam3u2e.cfg) Using SAMSU003.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/samsung_s3c2450.cfg (samsung_s3c4510.cfg) Using ATHER002.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atheros_ar9344.cfg (atheros_ar9331.cfg) Using TI_CC001.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/ti_cc26x0.cfg (ti_cc26x2.cfg) Using ATMEG000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/atmega128rfa1.cfg (atmega128.cfg) Using AT91S00N.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam3u2e.cfg (at91sam3u1c.cfg) Using ALTER000.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/altera_fpgasoc_arria10.cfg (altera_fpgasoc.cfg) Using AT91S00O.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9g45.cfg (at91sam9260.cfg) Using AT91S00P.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam9260.cfg (at91sam9260_ext_RAM_ext_flash.cfg) Using AT91S00Q.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4c32x.cfg (at91sam4sd32x.cfg) Using AT91S00R.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sd32x.cfg (at91sam4sXX.cfg) Using AT91S00S.CFG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/openocd/scripts/target/at91sam4sXX.cfg (at91sam4XXX.cfg) Using 10_SU000.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-sub-pixel-rgb.conf (10-sub-pixel-vrgb.conf) Using 10_HI000.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-hinting-slight.conf (10-hinting-none.conf) Using 10_HI001.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-hinting-none.conf (10-hinting-medium.conf) Using 10_SU001.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-sub-pixel-vrgb.conf (10-sub-pixel-vbgr.conf) Using 11_LC000.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/11-lcdfilter-legacy.conf (11-lcdfilter-default.conf) Using 10_SU002.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-sub-pixel-vbgr.conf (10-sub-pixel-bgr.conf) Using 11_LC001.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/11-lcdfilter-default.conf (11-lcdfilter-light.conf) Using 10_HI002.CON;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/fontconfig/conf.avail/10-hinting-medium.conf (10-hinting-full.conf) Using JQUER000.JS;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/javascript/jquery/jquery-migrate.min.js (jquery.min.js) Using JQUER001.JS;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/javascript/jquery/jquery-ui.min.js (jquery.ui-contextmenu.min.js) Using UI_IC000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-icons_222222_256x240.png (ui-icons_454545_256x240.png) Using UI_IC001.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-icons_454545_256x240.png (ui-icons_cd0a0a_256x240.png) Using UI_BG000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-bg_glass_65_ffffff_1x400.png (ui-bg_glass_55_fbf9ee_1x400.png) Using UI_BG001.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-bg_flat_75_ffffff_40x100.png (ui-bg_flat_0_aaaaaa_40x100.png) Using UI_IC002.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-icons_cd0a0a_256x240.png (ui-icons_2e83ff_256x240.png) Using UI_IC003.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-icons_2e83ff_256x240.png (ui-icons_888888_256x240.png) Using UI_BG002.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-bg_glass_55_fbf9ee_1x400.png (ui-bg_glass_75_dadada_1x400.png) Using UI_BG003.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-bg_glass_75_dadada_1x400.png (ui-bg_glass_95_fef1ec_1x400.png) Using UI_BG004.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/jqueryui/images/ui-bg_glass_95_fef1ec_1x400.png (ui-bg_glass_75_e6e6e6_1x400.png) Using TOOLB000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-folder.png (toolbar-close.png) Using TOOLB001.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-close.png (toolbar-start-all.png) Using INSPE000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/inspector-peers.png (inspector-trackers.png) Using INSPE001.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/inspector-trackers.png (inspector-files.png) Using FILE_000.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/file-priority-high.png (file-priority-normal.png) Using TOOLB002.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-start-all.png (toolbar-pause-all.png) Using FILE_001.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/file-priority-normal.png (file-priority-low.png) Using TOOLB003.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-pause-all.png (toolbar-start.png) Using INSPE002.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/inspector-files.png (inspector-info.png) Using TOOLB004.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-start.png (toolbar-pause.png) Using TOOLB005.PNG;1 for /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/cloop/target/usr/share/transmission/web/style/transmission/images/toolbar-pause.png (toolbar-info.png) [ 9] Blk# 0, [ratio/avg. 11%/ 11%], avg.speed: 65536 b/s, ETA: 2147483646s [ 9] Blk# 100, [ratio/avg. 74%/ 40%], avg.speed: 6619136 b/s, ETA: 21262213s 8.95% done, estimate finish Fri Apr 8 01:48:23 2022 [ 9] Blk# 200, [ratio/avg. 11%/ 35%], avg.speed: 6586368 b/s, ETA: 21367994s 17.92% done, estimate finish Fri Apr 8 01:48:17 2022 [ 9] Blk# 300, [ratio/avg. 3%/ 30%], avg.speed: 9863168 b/s, ETA: 14268992s [ 9] Blk# 400, [ratio/avg. 54%/ 31%], avg.speed: 13139968 b/s, ETA: 10710639s 26.85% done, estimate finish Fri Apr 8 01:48:19 2022 [ 9] Blk# 500, [ratio/avg. 31%/ 35%], avg.speed: 10944512 b/s, ETA: 12859180s [ 9] Blk# 600, [ratio/avg. 31%/ 38%], avg.speed: 9846784 b/s, ETA: 14292732s 35.83% done, estimate finish Fri Apr 8 01:48:20 2022 [ 9] Blk# 700, [ratio/avg. 64%/ 39%], avg.speed: 11485184 b/s, ETA: 12253825s 44.77% done, estimate finish Fri Apr 8 01:48:20 2022 [ 9] Blk# 800, [ratio/avg. 32%/ 40%], avg.speed: 10498867 b/s, ETA: 13405011s [ 9] Blk# 900, [ratio/avg. 30%/ 41%], avg.speed: 11809587 b/s, ETA: 11917218s 53.70% done, estimate finish Fri Apr 8 01:48:19 2022 [ 9] Blk# 1000, [ratio/avg. 39%/ 41%], avg.speed: 10933589 b/s, ETA: 12872023s 62.67% done, estimate finish Fri Apr 8 01:48:19 2022 [ 9] Blk# 1100, [ratio/avg. 43%/ 42%], avg.speed: 12025856 b/s, ETA: 11702902s [ 9] Blk# 1200, [ratio/avg. 41%/ 43%], avg.speed: 11244105 b/s, ETA: 12516550s 71.63% done, estimate finish Fri Apr 8 01:48:20 2022 [ 9] Blk# 1300, [ratio/avg. 54%/ 43%], avg.speed: 12180333 b/s, ETA: 11554478s 80.57% done, estimate finish Fri Apr 8 01:48:20 2022 [ 9] Blk# 1400, [ratio/avg. 42%/ 43%], avg.speed: 11476992 b/s, ETA: 12262568s [ 9] Blk# 1500, [ratio/avg. 64%/ 43%], avg.speed: 12296192 b/s, ETA: 11445607s 89.51% done, estimate finish Fri Apr 8 01:48:20 2022 [ 9] Blk# 1600, [ratio/avg. 81%/ 43%], avg.speed: 11658126 b/s, ETA: 12072041s [ 9] Blk# 1700, [ratio/avg. 45%/ 44%], avg.speed: 12386304 b/s, ETA: 11362338s 98.48% done, estimate finish Fri Apr 8 01:48:20 2022 Total translation table size: 0 Total rockridge attributes bytes: 324736 Total directory bytes: 1126400 Path table size(bytes): 5698 Max brk space used 384000 55861 extents written (109 MB) Statistics: gzip(0): 0 ( 0%) gzip(1): 0 ( 0%) gzip(2): 0 ( 0%) gzip(3): 0 ( 0%) gzip(4): 0 ( 0%) gzip(5): 0 ( 0%) gzip(6): 0 ( 0%) gzip(7): 0 ( 0%) gzip(8): 0 ( 0%) gzip(9): 1746 (1e+02%) 7zip: 0 ( 0%) Writing index for 1746 block(s)... Writing compressed data... >>> Generating filesystem image rootfs.ext2 mkdir -p /home/autobuild/autobuild/instance-13/output-1/images rm -rf /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2 mkdir -p /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2 rsync -auH --exclude=/THIS_IS_NOT_YOUR_ROOT_FILESYSTEM /home/autobuild/autobuild/instance-13/output-1/target/ /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target echo '#!/bin/sh' > /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot echo "set -e" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot echo "chown -h -R 0:0 /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot PATH="/home/autobuild/autobuild/instance-13/output-1/host/bin:/home/autobuild/autobuild/instance-13/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" /home/autobuild/autobuild/instance-13/buildroot/support/scripts/mkusers /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_users_table.txt /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot echo "/home/autobuild/autobuild/instance-13/output-1/host/bin/makedevs -d /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot echo "find /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target/run/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot echo "find /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target/tmp/ -mindepth 1 -prune -print0 | xargs -0r rm -rf --" >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot printf ' \n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot printf ' rm -f /home/autobuild/autobuild/instance-13/output-1/images/rootfs.ext2\n /home/autobuild/autobuild/instance-13/output-1/host/sbin/mkfs.ext2 -d /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target -r 0 -N 0 -m 5 -L "rootfs" -O ^64bit /home/autobuild/autobuild/instance-13/output-1/images/rootfs.ext2 "60M" || { ret=$?; echo "*** Maybe you need to increase the filesystem size (BR2_TARGET_ROOTFS_EXT2_SIZE)" 1>&2; exit $ret; }\n' >> /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot chmod a+x /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot PATH="/home/autobuild/autobuild/instance-13/output-1/host/bin:/home/autobuild/autobuild/instance-13/output-1/host/sbin:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl" FAKEROOTDONTTRYCHOWN=1 /home/autobuild/autobuild/instance-13/output-1/host/bin/fakeroot -- /home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/fakeroot rootdir=/home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/ext2/target table='/home/autobuild/autobuild/instance-13/output-1/build/buildroot-fs/full_devices_table.txt' mke2fs 1.46.5 (30-Dec-2021) Creating regular file /home/autobuild/autobuild/instance-13/output-1/images/rootfs.ext2 Creating filesystem with 61440 1k blocks and 15360 inodes Filesystem UUID: 720d778f-1530-4026-8403-556c2f483fd4 Superblock backups stored on blocks: 8193, 16385, 24577, 32769, 40961, 49153, 57345 Allocating group tables: 0/8 done Writing inode tables: 0/8 done Copying files into the device: __populate_fs: Could not allocate block in ext2 filesystem while writing file "transmission-edit" mkfs.ext2: Could not allocate block in ext2 filesystem while populating file system *** Maybe you need to increase the filesystem size (BR2_TARGET_ROOTFS_EXT2_SIZE) make: *** [fs/ext2/ext2.mk:65: /home/autobuild/autobuild/instance-13/output-1/images/rootfs.ext2] Error 1 make: Leaving directory '/home/autobuild/autobuild/instance-13/buildroot'